Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where M.C. Driver is active.

Publication


Featured researches published by M.C. Driver.


IEEE Electron Device Letters | 1996

4H-SiC MESFET's with 42 GHz f/sub max/

S. Sriram; G. Augustine; A.A. Burk; R.C. Glass; H.M. Hobgood; P.A. Orphanos; L.B. Rowland; T.J. Smith; C.D. Brandt; M.C. Driver; R.H. Hopkins

We report for the first time the development of state-of-the-art SiC MESFETs on high-resistivity 4H-SiC substrates. 0.5 /spl mu/m gate MESFETs in this material show a new record high f/sub max/ of 42 GHz and RF gain of 5.1 dB at 20 GHz. These devices also show simultaneously high drain current, and gate-drain breakdown voltage of 500 mA/mm, and 100 V, respectively showing their potential for RF power applications.


IEEE Electron Device Letters | 1993

MICROX-an all-silicon technology for monolithic microwave integrated circuits

M.H. Hanes; A.K. Agarwal; T.W. O'Keeffe; H.M. Hobgood; J.R. Szedon; T.J. Smith; R.R. Siergiej; P.G. McMullin; H.C. Nathanson; M.C. Driver; R.N. Thomas

An improved silicon-on-insulator (SOI) approach offers devices and circuits operating to 10 GHz by providing formerly unattainable capabilities in bulk silicon: reduced junction-to-substrate capacitances in FETs and bipolar transistors, inherent electrical isolation between devices, and low-loss microstrip lines. The concept, called MICROX (patent pending), is based on the SIMOX process, but uses very-high-resistivity (typically>10000 Omega -cm) silicon substrates, MICROX NMOS transistors of effective gate length 0.25 mu m give a maximum frequency of operation, f/sub max/, of 32 GHz and f/sub T/ of 23.6 GHz in large-periphery (4 mu m*50 mu m) devices with no correction for the parasitic effects of the pads. The measured minimum noise figure is 1.5 dB at 2 GHz with associated gain of 17.5 dB, an improvement over previously reported values for silicon FETs.<<ETX>>


IEEE Electron Device Letters | 1994

RF performance of SiC MESFET's on high resistivity substrates

S. Sriram; Rowland C. Clarke; A.A. Burk; H.M. Hobgood; P.G. McMullin; P.A. Orphanos; R.R. Siergiej; T.J. Smith; C.D. Brandt; M.C. Driver; R.H. Hopkins

State-of-the art SiC MESFETs showing a record high f/sub max/ of 26 GHz and RF gain of 8.5 dB at 10 GHz are described in this paper. These results were obtained by using high-resistivity SiC substrates for the first time to minimize substrate parasitics. The fabrication and characterization of these devices are discussed.<<ETX>>


IEEE Transactions on Magnetics | 1995

Thick yttrium-iron-garnet (YIG) films produced by pulsed laser deposition (PLD) for integration applications

H. Buhay; John D. Adam; Michael R. Daniel; N.J. Doyle; M.C. Driver; G.W. Eldridge; M.H. Hanes; R.L. Messham; M.M. Sopira

High magnetic and dielectric quality, thick (50-100 /spl mu/m), epitaxial, yttrium-iron-garnet (YIG) films were deposited at high rate by PLD. A two-step (low temperature deposition followed by rapid thermal anneal) low thermal budget PLD process was demonstrated suitable to deposit thick polycrystalline YIG films on metallized Si and GaAs. A modified PLD apparatus is used to deposit uniform, 80-100 /spl mu/m, thick YIG films on 3-inch semiconductor wafers for integrated microwave circulator fabrication.


international electron devices meeting | 1991

MICROX-an advanced silicon technology for microwave circuits up to X-band

A.K. Agarwal; M.C. Driver; M.H. Hanes; H.M. Hobgood; P.G. McMullin; H.C. Nathanson; T.W. O'Keeffe; T.J. Smith; J.R. Szedon; R.N. Thomas

An advanced silicon technology is presented which is capable of producing highly reliable and affordable MMICs (monolithic microwave integrated circuits) integrated with high-speed CMOS digital functions as replacements for costly GaAs hybrids currently used in systems up to X-band. The technology involves high-resistivity substrates. SIMOX (separation by implanted oxygen) processing, metal reinforced wide (100- mu m) by very short (0.3-0.5- mu m) polysilicon gates with multiple fingers, air-bridge technology, and other techniques to improve the high-speed performance by reducing parasitic elements and maintaining the substrate resistivity during the fabrication process. The technology has resulted in n-channel device cut-off frequencies (f/sub max/) of 21 GHz, with 40-GHz capability predicted by modeling.<<ETX>>


international microwave symposium | 1995

Monolithic integration of an X-band circulator with GaAs MMICs

John D. Adam; H. Buhay; Michael R. Daniel; M.C. Driver; G.W. Eldridge; M.H. Hanes; R.L. Messham

Monolithic integration of circulators with GaAs MMICs offers the potential of lower cost, reduced size and improved uniformity over the present hybrid approaches. Development of MMIC compatible ferrite film deposition techniques, device design and fabrication are described. Results on ferrite film circulators deposited on Si and GaAs substrates are presented and integration with FETs discussed.<<ETX>>


ieee cornell conference on advanced concepts in high speed semiconductor devices and circuits | 1989

High voltage operation in class B GaAs X-band power MESFETs

S. Sriram; Rowland C. Clarke; R.L. Messham; T.J. Smith; M.C. Driver

Surface trapping effects are shown to affect adversely the RF power performance of high-voltage GaAs MESFETs and a model is presented to explain them. It is shown that the adverse effects of surface trapping can be minimized by: (1) including an undoped layer near the surface, (2) reducing the distance between the gate and n/sup +/ ledge, and (3) making the gate recess narrower than the gate. Devices fabricated with such a structure showed excellent RF power performance at 10 GHz: P/sub 0/=678 mW/mm, G /sub A/=6.8 dB, and eta /sub PA/=51.1% at a drain-bias voltage of 12 V. The design of devices to minimize surface-trapping effects is also expected to lead to self-passivating devices that will be inherently more reliable and show less 1/f noise. The high-voltage, high-efficiency devices described here will be applicable in airborne phased array radar systems where power supply requirements and heat dissipation problems limit system performance.<<ETX>>


Proceedings of the IEEE | 1971

Gallium arsenide self-aligned gate field-effect transistors

M.C. Driver; H.B. Kim; D.L. Barrett

A technique is described for automatically aligning the gate contact of a gallium arsenide microwave-frequency Schottky-barrier field-effect transistor between the source and drain contacts. This technique consists of etching part of the epitaxial gallium arsenide layer from beneath the edge of the source and drain contacts and using the resulting overhang as an evaporation mask for the gate contact metal. Microwave measurements were made on a device fabricated in this manner with a 4-µ gate length. Maximum available gain measurements yield 16 dB at 2 GHz falling off at 6 dB/octave to a cutoff frequency of 11 GHz.


15th Annual GaAs IC Symposium | 1993

Advances in silicon carbide (SiC) device processing and substrate fabrication for high power microwave and high temperature electronics

M.C. Driver; R.H. Hopkins; C.D. Brandt; D.L. Barrett; A.A. Burk; Rowland C. Clarke; G.W. Eldridge; H.M. Hobgood; James P. McHugh; P.G. McMullin; R.R. Siergiej; S. Sriram

High-power density, temperature tolerant silicon carbide (SiC) electronics offer an exceptional opportunity to increase the performance and lower the cost of many existing and emerging military and commercial products. Surveillance and tactical radar systems, compact electric tank and aircraft engine controls, high reliability aviation electronics, and radiation resistance satellite components are some examples. Recent technology advances have brought this potential payoff closer to reality. These include the fabrication of a record-setting MESFET device with 12 dB gain at 2 GHz and 2 W/mm of power at 1 GHz and the worlds first 2-inch diameter high-resistivity SiC wafers for planar devices and low resistivity substrates for power devices. Vertical transistor structures have also been fabricated using both Schottky barrier and MOS gates.<<ETX>>


12th Annual Symposium on Gallium Arsenide Integrated Circuit (GaAs IC) | 1990

High-performance microwave power MESFETs on GaAs/Si

S. Sriram; R.L. Messham; T.J. Smith; H.M. Hobgood; M.C. Driver

The growth and fabrication of GaAs power MESFETs on high-resistivity, 3-inch diameter, float-zone Si substrates is discussed. These devices show high power-added efficiencies up to 51% and power output up to 447 mW/mm at 3 GHz. At 6 GHz power-added efficiency up to 42% and power output up to 447 mW/mm are obtained. The small-signal gain of these devices is very similar to those on GaAs substrates at 2 GHz (maximum stable gain is 18 dB), but was lower at 10 GHz (maximum available gain is 7-11 dB). Higher frequency performance in these devices is presently limited by parasitic conduction in a thin layer near the GaAs/Si interface. This has been characterized using special test structures, and possible means to overcome these problems and improve the performance are outlined.<<ETX>>

Collaboration


Dive into the M.C. Driver's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

S. Sriram

Westinghouse Electric

View shared research outputs
Top Co-Authors

Avatar

A.A. Burk

Westinghouse Electric

View shared research outputs
Researchain Logo
Decentralizing Knowledge