Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mango Chia-Tso Chao is active.

Publication


Featured researches published by Mango Chia-Tso Chao.


international conference on computer aided design | 2005

Response shaper: a novel technique to enhance unknown tolerance for output response compaction

Mango Chia-Tso Chao; Seongmoon Wang; Srimat T. Chakradhar; Kwang-Ting Cheng

The presence of unknown values in the simulation result is a key barrier to effective output response compaction in practice. This paper proposes a simple circuit module, called a response shaper, to reshape the scan-out responses before feeding them to a space compactor. Along with the proposed reshaping algorithm, response shapers can help the space compactor to reduce the number of undetectable modeled and unmodeled faults in the presence of unknown values. Moreover, the proposed compaction scheme is ATPG-independent and its hardware requirement is pattern-independent. In our experiments, we use a simple XOR compactor as the space compactor to evaluate the effectiveness of the response shaper. The results show that the number of undetectable faults and unobservable scan-out responses can be significantly reduced in comparison with the results of a convolutional compactor. The number of the extra scan-in bits required for the control signals of the response shapers is only a small fraction of the total test data volume. Also, its hardware overhead is acceptable and the runtime of the reshaping algorithm is scalable for large industrial designs.


international conference on computer aided design | 2004

Static statistical timing analysis for latch-based pipeline designs

Mango Chia-Tso Chao; Li-C. Wang; Kwang-Ting Cheng; Sandip Kundu

A latch-based timing analyzer is an essential tool for developing high-speed pipeline designs. As process variations increasingly influence the timing characteristics of DSM designs, a timing analyzer capable of handling process-induced timing variations for latch-based pipeline designs becomes in demand. In this work, we present a static statistical timing analyzer, STAP, for latch-based pipeline designs. Our analyzer propagates statistical worst-case delays as well as critical probabilities across the pipeline stages. We present an efficient method to handle correlations due to re-convergent fanouts. We also demonstrate the impact of not including the analysis of reconvergent fanouts in latch-based pipeline designs. Comparing to a Monte-Carlo based timing analyzer, our experiments show that STAP can accurately evaluate the critical probability that a design violates the timing constraints under a given statistical timing model. The runtime comparison further demonstrates the efficiency of our STAP.


international symposium on physical design | 2009

A metal-only-ECO solver for input-slew and output-loading violations

Chien Pang Lu; Mango Chia-Tso Chao; Chen Hsing Lo; Chih-Wei Chang

To reduce the time-to-market and photomask cost for advanced process technologies, metal-only engineering change order (ECO) has become a practical and attractive solution to handle incremental design changes. Due to limited spare cells in metal-only ECO, the new added netlist may often violate the input-slew and output-loading constraints and, in turn, delay or even fail the timing closure. This paper presents a framework, named metal-only ECO slew/cap solver (MOESS), to resolve the input-slew and output-loading violations by connecting spare cells onto the violated nets as buffers. MOESS performs two buffer-insertion schemes in a sequential manner to first minimize the number of inserted buffers and then resolve timing violations, if any. The experimental results based on industrial designs demonstrate that MOESS can resolve more violations with fewer inserted buffers and less central processing unit runtime compared to an electronic design automation vendors solution.


ACM Transactions on Design Automation of Electronic Systems | 2010

Scan-Cell Reordering for Minimizing Scan-Shift Power Based on Nonspecified Test Cubes

Yu-Ze Wu; Mango Chia-Tso Chao

This article presents several scan-cell reordering techniques to reduce the signal transitions during the test mode while preserving the don’t-care bits in the test patterns for a later optimization. Combined with a pattern-filling technique, the proposed scan-cell reordering techniques can utilize both high response correlations and pattern correlations to simultaneously minimize scan-out and scan-in transitions. Those scan-shift transitions can be further reduced by selectively using the inverse connections between scan cells. In addition, the trade-off between routing overhead and power consumption can also be controlled by the proposed scan-cell reordering techniques. A series of experiments are conducted to demonstrate the effectiveness of each of the proposed techniques individually.


design automation conference | 2012

Alternate hammering test for application-specific DRAMs and an industrial case study

Rei-Fu Huang; Hao-Yu Yang; Mango Chia-Tso Chao; Shih-Chin Lin

This paper presents a novel memory test algorithm, named alternate hammering test, to detect the pairwise word-line hammering faults for application-specific DRAMs. Unlike previous hammering tests, which require excessively long test time, the alternate hammering test is designed scalable to industrial DRAM arrays by considering the array layout for potential fault sites and the highest DRAM-access frequency in real system applications. The effectiveness and efficiency of the proposed alternate hammering test are validated through the test application to an eDRAM macro embedded in a storage-application SoC.


international conference on computer aided design | 1999

A clustering- and probability-based approach for time-multiplexed FPGA partitioning

Mango Chia-Tso Chao; Guang-Ming Wu; Iris Hui-Ru Jiang; Yao-Wen Chang

Improving logic density by time-sharing, time-multiplexed FPGAs (TMFPGAs) have become an important research topic for reconfigurable computing. Due to the precedence and capacity constraints in TMFPGAs, the clustering and partitioning problems for TMFPGAs are different from the traditional ones. In this paper, we propose a two-phase hierarchical approach to solve the partitioning problem for TMFPGAs. With the precedence and capacity considerations for both phases, the first phase clusters nodes to reduce the problem size, and the second phase applies a probability-based iterative-improvement approach to minimize cut cost. Experimental results based on the Xilinx TMFPGA architecture show that our algorithm significantly outperforms previous works.


international conference on computer aided design | 2009

Power-switch routing for coarse-grain MTCMOS technologies

Tsun-Ming Tseng; Mango Chia-Tso Chao; Chien Pang Lu; Chen Hsing Lo

Multi-threshold CMOS (MTCMOS) is an effective power-gating technique to reduce ICs leakage power consumption by turning off idle devices with MTCMOS switches. However, few existing literatures have discussed the algorithms required in MTCMOSs back-end tools. In this paper, we propose a switch-routing framework which serially connects the MTCMOS switches without violating the Manhattan-distance constraint. The proposed switch-routing framework can simultaneously maximize the number of MTCMOS switches covered by its trunk path and minimize the total path length. The experimental result based on four industrial MTCMOS designs demonstrates the effectiveness and efficiency of the proposed framework compared to a solution provided by an EDA vendor and an advanced TSP solver.


IEEE Transactions on Very Large Scale Integration Systems | 2013

Power-Up Sequence Control for MTCMOS Designs

Shi-Hao Chen; Youn-Long Lin; Mango Chia-Tso Chao

Power gating is effective for reducing standby leakage power as multi-threshold CMOS (MTCMOS) designs have become popular in the industry. However, a large inrush current and dynamic IR drop may occur when a circuit domain is powered up with MTCMOS switches. This could in turn lead to improper circuit operation. We propose a novel framework for generating a proper power-up sequence of the switches to control the inrush current of a power-gated domain while minimizing the power-up time and reducing the dynamic IR drop of the active domains. We also propose a configurable domino-delay circuit for implementing the sequence. Experimental results based on state-of-the-art industrial designs demonstrate the effectiveness of the proposed framework in limiting the inrush current, minimizing the power-up time, and reducing the dynamic IR drop. Results further confirm the efficiency of the framework in handling large-scale designs with more than 80 K power switches and 100 M transistors.


design automation conference | 2009

Fault models for embedded-DRAM macros

Mango Chia-Tso Chao; Hao-Yu Yang; Rei-Fu Huang; Shih-Chin Lin; Ching-Yu Chin

In this paper, we compare embedded-DRAM (eDRAM) testing to both SRAM testing and commodity-DRAM testing, since an eDRAM macro uses DRAM cells with an SRAM interface. We first start from an standard SRAM test algorithm and discuss the faults which are not covered in the SRAM testing but should be considered in the DRAM testing. Then we study the behavior of those faults and the tests which can detect them. Also, we discuss how likely each modeled fault may occur on eDRAMs and commodity DRAMs, respectively.


IEEE Transactions on Very Large Scale Integration Systems | 2012

Testing Methodology of Embedded DRAMs

Hao-Yu Yang; Chi-Min Chang; Mango Chia-Tso Chao; Rei-Fu Huang; Shih-Chin Lin

The embedded-DRAM testing mixes up the techniques used for DRAM testing and SRAM testing since an embedded-DRAM core combines DRAM cells with an SRAM interface (the so-called 1T-SRAM architecture). In this paper, we first present our test algorithm for embedded-DRAM testing. A theoretical analysis to the leakage mechanisms of a switch transistor is also provided, based on that we can test the embedded-DRAM at a higher temperature to reduce the total test time and maintain the same retention-fault coverage. The experimental results are collected based on 1-lot wafers with an 16 Mb embedded DRAM core.

Collaboration


Dive into the Mango Chia-Tso Chao's collaboration.

Top Co-Authors

Avatar

Chen-Wei Lin

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Hao-Yu Yang

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Shi-Hao Chen

Global Unichip Corporation

View shared research outputs
Top Co-Authors

Avatar

Szu-Pang Mu

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Wen-Hsiang Chang

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Kwang-Ting Cheng

Hong Kong University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yi-Ming Wang

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge