Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mario Porrmann is active.

Publication


Featured researches published by Mario Porrmann.


international parallel and distributed processing symposium | 2005

REPLICA: a bitstream manipulation filter for module relocation in partial reconfigurable systems

Heiko Kalte; Gareth Lee; Mario Porrmann; Ulrich Rückert

The feature of partial reconfiguration provided by currently available field programmable gate arrays (FPGAs) makes it possible to change hardware modules while others keep working. The combination of this feature and the high gate capacity enables the integration of dynamic systems that can be adapted to changing demands during runtime. Placing the dynamically changing modules along a horizontal communication infrastructure does not only provide communication facilities it also enables the relocation of pre-synthesized modules by bitstream manipulations. The exact placement of an incoming module is determined according to the current resource allocation, which results in an online placement problem. In order to prevent any extra configuration overhead for the relocation process, we developed the REPLICA (relocation per online configuration alteration) filter, which is capable of performing the necessary bitstream manipulations during the regular download process. The filter architecture, a configuration manager and an evaluation example are presented in this paper.


field-programmable logic and applications | 2005

Context saving and restoring for multitasking in reconfigurable systems

Heiko Kalte; Mario Porrmann

Todays Field Programmable Gate Arrays (FPGAs) can be reconfigured partially, which makes it possible to share resources between various functional modules (hardware tasks) over time. This concept is well known in the area of conventional operating systems. However, in order to transfer resource sharing concepts to operating systems on FPGAs, several underlying mechanisms have to be developed. One of these mechanisms is to suspend hardware tasks and restart them at another time and/or another area of the FPGA. Addressing this problem, this paper discusses ways to save and restore the state information of a hardware task. Afterwards, an implementation of a state relocation mechanisms is presented that uses the standard configuration port. In contrast to similar approaches, we significantly reduce the amount of readback data by reading only those configuration frames that contain state information. We finally determine the time overhead for task relocation, which is essential for most multitasking concepts, like defragmentation.


computing frontiers | 2006

REPLICA2Pro: task relocation by bitstream manipulation in virtex-II/Pro FPGAs

Heiko Kalte; Mario Porrmann

One vision of dynamic hardware reconfiguration is to deliver virtually unlimited hardware resources to a set of hardware tasks implementing arbitrary functions. By using partial reconfiguration, these tasks can be allocated and de-allocated on the reconfigurable architecture while others continue to operate. However, the exact placement of each task can only be determined during runtime according to the current resource allocation. This requires relocating each task from its original position after place and route to an area of available resources. The process of relocating tasks can result in a major time overhead. In order to solve this problem we have developed the REPLICA2Pro (Relocation per online Configuration Alteration in Virtex-2/-Pro) filter, which is capable of performing task relocations by manipulating the tasks bitstream during the regular allocation process without any extra time overhead. The filter architecture, our reconfigurable system approach as well as our design flow and an experimental system setup are presented in this paper.


Optics Express | 2008

Coherent optical communication: Towards realtime systems at 40 Gbit/s and beyond

Timo Pfau; Sebastian Hoffmann; Olaf Adamczyk; Ralf Peveling; Vijitha Herath; Mario Porrmann; Reinhold Noe

Coherent optical communication systems promise superior performance, but their realization in real time also poses big technical challenges. After an introduction the potential of coherent optical transmission systems is shown as manifested in offline experiments. Then we present key components that are necessary to realize these systems in real time. We review recent achievements in realtime coherent communication and finally present the results of a realtime QPSK transmission system with a 3x3 coupler in the receiver. The achieved BER at a data rate of 1.4 Gbit/s is well below the FEC threshold.


IEEE Journal of Solid-state Circuits | 2013

A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control

Sven Lütkemeier; Thorsten Jungeblut; Hans Kristian Otnes Berge; Snorre Aunet; Mario Porrmann; Ulrich Rückert

An energy-efficient SoC with 32 b subthreshold RISC processor cores, 32 kB conventional cache memory, and 9T ultra-low voltage (ULV) SRAM based on a flexible and extensible architecture was fabricated on a 2.7 mm2 test chip in 65 nm low power CMOS. The processor cores are based on a custom standard cell library that was designed using a multiobjective approach to optimize noise margins, switching energy, and propagation delay simultaneously. The cores operate over a supply voltage range from 200 mV (best samples) to 1.2 V with clock frequencies from 10 kHz to 94 MHz at room temperature. The lowest energy consumption per cycle of 9.94 pJ is observed at 325 mV and 133 kHz. A 2 kb ULV SRAM macro achieves minimum energy per operation at averages of 321 mV (0.030 σ/μ), 567 fJ (0.037 σ/μ), and 730 kHz (0.184 σ/μ), for equal number of 32 b read/write operations. The off-chip performance and power management subsystem provides dynamic voltage and frequency scaling (DVFS) combined with an adaptive supply voltage generation for dynamic PVT compensation.


IEEE Transactions on Very Large Scale Integration Systems | 2011

Design Optimizations for Tiled Partially Reconfigurable Systems

Markus Koester; Wayne Luk; Jens Hagemeyer; Mario Porrmann; Ulrich Rückert

In partially reconfigurable architectures, system components can be dynamically loaded and unloaded allowing resources to be shared over time. Dynamic system components are represented by partial reconfiguration (PR) modules. In comparison to a static system, the design of a partially reconfigurable system requires additional design steps, such as partitioning the device resources into static and dynamic regions. We present the concept of tiled PR regions, which enables a flexible online-placement of PR modules. Dynamic reconfiguration requires a suitable communication infrastructure to interconnect the static and dynamic system components. We present an embedded communication macro, a communication infrastructure that interconnects PR modules in a tiled PR region. Efficient online-placement of PR modules depends not only on the placement algorithm, but also on design-time aspects such as the chosen synthesis regions of the PR modules. We propose a design method for selecting suitable synthesis regions for the PR modules aiming to optimize their placement at run-time.


IEEE Photonics Technology Letters | 2006

First Real-Time Data Recovery for Synchronous QPSK Transmission With Standard DFB Lasers

Timo Pfau; Sebastian Hoffmann; Ralf Peveling; Suhas Bhandare; Selwan K. Ibrahim; Olaf Adamczyk; Mario Porrmann; Reinhold Noe; Yakoov Achiam

For the first time, synchronous quadrature phase-shift keying data is recovered in real-time after transmission with standard distributed feedback lasers using a digital inphase and quadrature receiver. Forward-error-correction-compatible performance is reached at 800 Mb/s after 63 km of fiber. Self-homodyne operation with an external cavity laser is error-free


international parallel and distributed processing symposium | 2004

System-on-programmable-chip approach enabling online fine-grained 1D-placement

Heiko Kalte; Mario Porrmann; Ulrich Rückert

Summary form only given. The increasing logic density of current FPGAs (field programmable gate arrays) enables the integration of whole systems on one programmable chip. Some of these FPGAs provide the additional feature of partial dynamic reconfiguration, which permits to change parts of the device while other parts keep working. Combining the features of system level density and partial dynamic reconfiguration enables the integration of dynamic systems that can be adopted to changing demands during runtime. A lot of theoretical work in this challenging research area has been done on efficiently placing and scheduling modules on the FPGA area. However, there is a lack of applied approaches that can be realized by existing tools and FPGAs. We present a new, realizable approach for the dynamic system integration on Xilinx Virtex FPGAs. In contrast to the existing approaches that consider fixed slots for the module placement, our approach enables the fine-grained placement of modules with variable width along a horizontal communication infrastructure.


IEEE Transactions on Neural Networks | 2003

A massively parallel architecture for self-organizing feature maps

Mario Porrmann; Ulf Witkowski; Ulrich Rückert

A hardware accelerator for self-organizing feature maps is presented. We have developed a massively parallel architecture that, on the one hand, allows a resource-efficient implementation of small or medium-sized maps for embedded applications, requiring only small areas of silicon. On the other hand, large maps can be simulated with systems that consist of several integrated circuits that work in parallel. Apart from the learning and recall of self-organizing feature maps, the hardware accelerates data pre- and postprocessing. For the verification of our architectural concepts in a real-world environment, we have implemented an ASIC that is integrated into our heterogeneous multiprocessor system for neural applications. The performance of our system is analyzed for various simulation parameters. Additionally, the performance that can be achieved with future microelectronic technologies is estimated.


parallel distributed and network based processing | 2002

Implementation of artificial neural networks on a reconfigurable hardware accelerator

Mario Porrmann; Ulf Witkowski; Heiko Kalte; Ulrich Rückert

The hardware implementations of three different artificial neural networks are presented. The basis for the implementations is the reconfigurable hardware accelerator RAPTOR2000, which is based on FPGAs. The investigated neural network architectures are neural associative memories, self-organizing feature maps and basis function networks. Some of the key implementation issues are considered. In particular, the resource efficiency and performance of the presented realizations are discussed.

Collaboration


Dive into the Mario Porrmann's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Heiko Kalte

University of Paderborn

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Reinhold Noe

University of Paderborn

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge