Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mark A. Gesley is active.

Publication


Featured researches published by Mark A. Gesley.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Evaluation of OPC mask printing with a raster scan pattern generator

Thomas H. Newman; Jan M. Chabala; B.J. Marleau; Frederick Raymond; Olivier Toublan; Mark A. Gesley; Frank E. Abboud

MEBESR 50 kV mask pattern generators use Raster GraybeamTM writing, providing an effective grid that is 32X finer than the print grid. The electron beam size and print pixel size are variable between 60 nm and 120 nm, allowing a tradeoff between resolution and write time. Raster scan printing optimizes throughput by transferring precisely the amount of data to the mask that is consistent with the chosen resolution. As with other raster output devices, mask write times are not affected by pattern complexity. This paper examines the theoretical performance of Raster Graybeam for model-based optical proximity correction (OPC) patterns and provides examples of mask patterning performance. A simulation tool is used to model the MEBES eXaraTM system writing strategy, which uses four writing passes, interstitial print grids, offset scans, and eight dose levels per pass. It is found that Raster Graybeam produces aerial image quality equivalent to the convolution of the input pattern data with a Gaussian point spread function. Resolution of 90 nm is achieved for equal lines and spaces, supporting subresolution assist features. Angled features are a particular strength of raster scan patterning, with feature quality and write time that are independent of feature orientation.


Japanese Journal of Applied Physics | 1993

Electron Beam Column Developments for Submicron- and Nanolithography

Mark A. Gesley; Frank E. Abboud; Dave Colby; Frederick Raymond; Sterling Watson

Recent advances in thermal field emission (TFE) electron beam optics column design for lithography are described. Innovations include source vibration mode mapping, accelerating electron gun lens, gun arc-suppression, automated cathode pyrometer, and experimental deflection control system. Several of these column optics and system enhancements, which improve the accuracy and reliability of MEBES°R IV-TFE systems, have enabled patterning of 64 Mbit dynamic random access memory (DRAM) 5×-reduction reticles. A 13000-hour cathode lifetime has been achieved in a production environment. Automated column setups over the entire operating range with 99% success and 5 min average times are possible. Blanking at 160 MHz with 30 nm (3σ) critical dimension control is achieved. Data obtained with a new experimental deflection control method can quickly compensate stripe butting drift to high accuracy. Challenges in mask patterning for advanced applications are then considered. Several accuracy and throughput issues for advanced 5× reticles for DRAM, 1× masks, and nanolithography are discussed. Examples are given of scaling recent system data as a means of estimating future error budget components.


21st Annual BACUS Symposium on Photomask Technology | 2002

100-nm OPC mask patterning using raster-scan 50-kV pattern generation technology

Frank E. Abboud; Ki-Ho Baik; Varoujan Chakarian; Damon M. Cole; Jay P. Daniel; Robert L. Dean; Mark A. Gesley; Robert J. Naber; Thomas H. Newman; Frederick Raymond; David Trost; Mark Wiltse; William DeVore

ABSTRACT The complexity of photomasks is rapidly increasing as semiconductor devices are scaled down and optical proximitycorrection (OPC) becomes commonplace. Raster scan architectures are well suited to the challenge of maintaining maskthroughput despite these trends. Electron-beam techniques have the resolution to support OPC requirements into theforeseeable future. The MEBES ® eXara mask pattern generator combines the resolution of a finely focused electronprobe with the productivity and accuracy of Raster Graybeam patterning. Features below 100nm can be created, andOPC designs are produced with consistent fidelity. Write time is independent of resist sensitivity, allowing high-doseprocesses to be extended, and relaxing sensitivity constraints on advanced chemically amplified resists. The system isdesigned for the production of 100nm photomasks, and w ill support the development of 70nm masks.Keywords: MEBES, electron beam, lithography, photomask, graybeam, CAR, OPC 1. INTRODUCTION


Photomask and x-ray mask technology. Conference | 1997

Multipass gray printing for the new MEBES 4500S mask lithography system

Frank E. Abboud; Robert L. Dean; Janine J. Doering; W. Eckes; Mark A. Gesley; Ulrich Hofmann; Terry Mulera; Robert J. Naber; M. Pastor; Wayne Phillips; John Raphael; Frederick Raymond; Charles A. Sauer

Etec Systems, Inc. has developed a new e-beam mask lithography system, the MEBES 4500S, featuring a higher productivity writing strategy called multipass gray and a number of mechanical and electrical improvements. This new system, based on the proven technologies introduced in the MEBES 4500 system, provides improved throughput and accuracy. The MEBES 4500S system with multipass gray supports smaller mask design addresses needed for high resolution masks, while providing higher dose for high contrast processes with low sensitivity and improved CD linearity. Improved print performance is achieved by the introduction of several system design changes that work in conjunction with the multipass gray writing mode. These changes include improved column deflection system temperature control, enhanced TFE current control, improved work chamber thermal management, and improved stage drive vibration damping. Details of these features are presented along with first performance data for the new system.


Journal of Vacuum Science & Technology B | 1993

Electrodynamics of fast beam blankers

Mark A. Gesley; Dave Colby; Frederick Raymond; D. McClure; Frank E. Abboud

Performance characteristics of an advanced electron beam blanker for lithography are presented. Various electrodynamic effects are discussed, which must be eliminated to achieve high beam placement accuracy during and after blanking. These electric and magnetic field effects have been measured over six orders of magnitude in time. The fast beam jitter characteristic of transit time effects in a double‐deflection blanker is captured with nanosecond time resolution. Eddy current effects measured in the micro‐ to millisecond time domain are shown to be an inherent problem in earlier double‐deflection blanker designs. A consequence is beam misplacement after the unblank transition, which can be 0.05 μm even after 500 μs. Several examples of pattern artifacts in purposely underdeveloped resist are given to illustrate graphically the lithographic consequences of the eddy current effect. All of these electrodynamic effects have been addressed with a new ‘‘virtual ground’’ blanker design. The MEBES IV‐TFE maskmak...


Photomask and next-generation lithography mask technology. Conference | 2002

Raster scan patterning solution for 100- and 70-nm OPC masks

Frank E. Abboud; Ki-Ho Baik; Varoujan Chakarian; Damon M. Cole; Robert L. Dean; Mark A. Gesley; Herb Gillman; William C. Moore; Mark Mueller; Robert J. Naber; Thomas H. Newman; Romin Puri; Frederick Raymond; Mario Rougieri

Photomask complexity threatens to outpace mask pattern generator productivity, as semiconductor devices are scaled down and optical proximity correction (OPC) becomes commonplace. Raster scan architectures are well suited to the challenge of maintaining mask throughput and mask quality despite these trends. The MEBES eXara mask pattern generator combines the resolution of a finely focused 50 keV electron beam with the productivity and accuracy of Raster Graybeam writing. Features below 100 nm can be imaged, and OPC designs are produced with consistent fidelity. Write time is independent of resist sensitivity, allowing high-dose processes to be extended, and relaxing sensitivity constraints on chemically amplified resists. Data handling capability is enhanced by a new hierarchical front end and hiearchical data format, building on an underlying writing strategy that is efficient for OPC patterns. A large operating range enables the MEBES eXara system to support the production of 100 nm photomasks, and the development of 70 nm masks.


Journal of Vacuum Science & Technology B | 1995

Experimental evaluation of an electron‐beam pulse modulated blanker (160 MHz) for next‐generation electron‐beam raster scan systems

Andrew Muray; Dave Colby; Robin Teitzel; Mark A. Gesley

The simplicity of raster scan architecture has several benefits for mask‐making production tools, the most important being accuracy. Nevertheless, building patterns on a grid resolution determined by the writing address of the raster scan mask generator places limitations on pattern edge locations, or equivalently, on throughput. A method of getting around this throughput bottleneck is pixel‐level dose modulation, i.e., graybeam. In this article, a new driver technology in combination with the MEBES(R) 4500 blanker operating at 160 MHz is experimentally evaluated for real‐time dose modulation by pulse width variation. Measurements of the rise and fall time of the blanker driver using various input data vectors (i.e., combinations of various pulse width signals) and a beam current modulation transfer function for the blanker are presented. Contributions from electronic artifacts such as electronic jitter are measured. Finally, these measurement results are used to determine the preliminary performance of a...


Journal of Vacuum Science & Technology B | 1992

Electron beam lithography using MEBES IV

Frank E. Abboud; Mark A. Gesley; D. Colby; K. Comendant; R. Dean; W. Eckes; D. McClure; H. Pearce‐Percy; R. Prior; S. Watson

The lithographic performance of the MEBESR IV maskmaker is described. This raster‐scan electron beam lithography system automates the thermal field emission (TFE) column and makes a number of advances in the electronics and software control subsystems to achieve the stability and accuracy sufficient for 64‐Mbit production and 256‐Mbit development. Key module developments are highlighted, including TFE column vibration reduction, column setup automation, 160 MHz blanking at a high slew rate, low noise, and increased linearity of the deflection control electronics, and multipoint system temperature control. Data on lithographic quality is presented, as well as ghostTM proximity correction results for 1× maskmaking applications with 0.2 μm minimum feature sizes.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Raster Shaped Beam Pattern Generation for 70 nm Photomask Production

Thomas H. Newman; Ira Finklestein; Huei-Mei Kao; Sriram Krishnaswami; Darryn Long; Richard L. Lozes; Henry Thomas Pearce-Percy; Allan L. Sagle; Jeffrey K. Varner; Stacey J. Winter; Mark A. Gesley; Frank E. Abboud

Photomask complexity is rapidly increasing as feature sizes are scaled down and as optical proximity correction (OPC) methods become widespread. The growing data content of critical mask levels requires that pattern generator solutions be adapted to maintain productivity. Raster shaped beam (RSB) technology has been developed to enable the production of 70 nm photomasks and the development of 50 nm masks. RSB is built on and extends the capability of the 50 kV MEBES platform. The beam is shaped as it is scanned, printing the mask pattern on a calibrated flash grid. Complex OPC patterns are efficiently tiled by combining a relatively small maximum shape size with a high flash rate of 100 MHz. The maximum shape size and the current density can be adjusted to match a wide set of mask applications. Proximity effects are corrected with dose modulation using a real-time computation.


international microprocesses and nanotechnology conference | 1998

Mask Patterning Challenges Beyond 150 nm

Mark A. Gesley

Mask patterning strategy must anticipate future requirements and be flexible enough to accommodate the broad range of options currently confronting the industry. The assessment and prioritization of the technical challenges for mask pattern generation are based on three attributes: lithography quality, throughput, and pattern processing complexity. A systems approach to integrating these features is guided by an error budget and evaluation of the various tradeoffs to identify and, if possible, optimize the total tool and process solution that meets the critical customer needs in semiconductor manufacturing. This paper evaluates several key drivers influencing pattern generation technology. Writing strategy that can achieve requisite pattern fidelity and throughput is considered. This paper discusses evolution of the pixel concept, design grid, and beam spot size. It also considers the growing complexity of error budget terms needed to meet composite lithographic specifications. It gives several examples of important error budget contributors and includes the relation of aerial image slope, deposited dose, and critical dimension transferred to the mask. Observations are made about the required data path channel capacity as pattern processing complexity grows. An evolutionary systems architecture that meets the increasingly complex demands of the technology roadmap is summarized.

Collaboration


Dive into the Mark A. Gesley's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge