Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Melisa J. Buie is active.

Publication


Featured researches published by Melisa J. Buie.


21st Annual BACUS Symposium on Photomask Technology | 2002

Endpoint solution for photomask chrome loads down to 0.25

Melisa J. Buie; Brigitte C. Stoehr; Alex H. Buxbaum; Guenther Ruhl

Endpoint measurement sensitivity requirements in photomask can make or break an etch. The exposed chrome on todays photomask can vary between 0.25 percent and approximately 50 percent. Although excessive overetch does not deleteriously impact the underlying quartz, accurate endpoint detection is essential for preserving the critical dimension (CD) and CD uniformity across the mask. In order to provide a strong endpoint solution for photomask etch, a systematic investigation of etches with varying chrome loads was conducted. Passive monitoring of the optical emission spectra does not impact or interfere with the etch process. Also this method does not need specified endpoint sites on the mask as interferometric methods and provides an integrated endpoint signal over the whole mask area independent of the chrome clearing pattern. Two strong candidate wavelengths for calling endpoint in chrome etch were identified. However, optical emission spectroscopy endpoint detection has two drawbacks, which have historically limited its applicability. Firstly, the exposed area may be too low and/or secondly, the etch rate may be too slow for detection. Both of these concerns have been addressed in this paper by varying the exposed area on the photomasks from 0.25 percent to 99 percent. Endpoint was easily detected even for the slowest possible etch rate and for low exposed area.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Study of the role of Cl2, O2, and He in the chrome etch process with optical emission spectroscopy

Rex B. Anderson; Guenther Ruhl; Nicole L. Sandlin; Melisa J. Buie

Demands on critical dimension specifications increase with the continuous shrinking of design rules. In order to meet sub-0.13μm specifications with precise process control, a better understanding of the etching chemistry and surface reactions need to be achieved. Optical emission spectroscopy (OES) is frequently used in the photomask community as a diagnostic for calling endpoint, but is often underutilized in process development. In-situ measurements, like OES, need to be utilized and correlated to post-etch metrology measurements in order to provide a larger picture of the etch process. In this paper, OES is used to characterize and monitor chrome etch processes on the Etec Systems Tetra photomask etch chamber. Changes in process conditions, such as source power, He percentage, pressure, and Cl2:O2 flow ratios have been captured by time-averaged optical emission traces. The OES data of the plasma, along with SEM pictures of line profiles, are used to gain insight in process optimization for the etching of chrome.


Photomask and next-generation lithography mask technology. Conference | 2003

Improvement of chrome CDU by optimizing focus ring design

Rex B. Anderson; Guenther Ruhl; Pavel Nesladek; Gerhard Prechtl; Winfried Sabisch; Alfred Kersch; Melisa J. Buie

Uniform radical distribution in the etching plasma is essential to meet chrome critical dimension (CD) uniformity for future technology nodes on chrome masks. The Etec Systems Tetra photomask etch chamber utilizes an alumina focus ring in order to optimize the etch uniformity of the chrome mask by minimizing gas flow effects and shaping the radial distribution of the etching radicals over the mask surface. This paper describes a systematic investigation to optimize the current focus ring, in order to improve etch critical dimension uniformity. The focus ring (FR) optimization work was made possible by manufacturing a modular focus ring that allowed the geometry to be varied at different heights and diameters. The circular shape of the modular focus ring, along with the height and diameter combinations, has a large influence on the etch performance at the mask corners and edges. The underlying mechanism was investigated by modeling and simulation. Based on simulation results the focus ring geometry was varied and the optimum FR configuration was found. The critical dimension uniformity could be adjusted on uniformly patterned masks with different pattern loads to meet production specifications.


Photomask and next-generation lithography mask technology. Conference | 2003

Effect of chamber seasoning on the chrome dry etch process

Jason O. Clevenger; Melisa J. Buie; Nicole L. Sandlin

Chamber surface condition in high-density plasma etch reactors can dramatically affect process performance. The well-known “first wafer effect” in wafer etch processes is often reduced by a “seasoning” process which runs an appropriate etch chemistry on a dummy wafer prior to the etch of a production wafer. The seasoning process has proven to be an effective method for minimizing wafer process shift, but it has not been examined for photomask production using typical dry etch chemistries. In this work, a series of PR/Cr/Quartz photomasks were etched in a Etec Systems, Inc. Tetra photomask etch system with the goal of quantifying the amount of change in etch rate and critical dimension (CDs) following an isopropanol “wet” cleaning of the chamber, as well as the amount of seasoning necessary to minimize the observed process shift using a standard Cl2/O2/He chemistry. The chamber seasoning and etch processes were observed via in-situ recording of optical emission from the plasma reactor with a CCD array and monochromator. Alumina coupons affixed to different areas of the chamber prior to mask seasoning/etching were subjected to X-Ray Photoelectron Spectroscopy as well as Time of Flight Secondary Ion Mass Spectrometry (TOF-SIMS) to determine the identity of the chemical species deposited in the chamber during the seasoning/etching process. Results from these etching processes clearly indicated the presence of a “first mask effect,” which can be reduced by a seasoning process appropriate for the particular chemistry involved. Alumina coupon surface analysis revealed a negligible amount of deposition accumulating during the experiments.


Photomask and next-generation lithography mask technology. Conference | 2002

In-situ optical emission spectroscopic examination of chrome etch for photomasks

Rex B. Anderson; Nicole L. Sandlin; Melisa J. Buie; Clyde Su; Ashish Agarwal; Cynthia J. Brooks; Yi-Chiau Huang; Brigitte C. Stoehr

In this paper, optical emission spectroscopy is used to characterize and monitor chrome etch processes on the Etec Tetra photomask etch chamber. Changes in process conditions, such as source power, bias power, pressure, and gas flows have been captured by time-averaged optical emission traces. Using multi-wavelength OES data collected during chrome etching, a fingerprint of the plasma was taken. The fingerprint was generated using a principal component analysis (PCA) technique, which detects spectral correlation between multiple wavelengths. The PCA reduces the dimensionality of the multi-wavelength OES and extracts just the most relevant information. The new variables are created as linear combinations of the original variables. The new principal component peaks diminish more than the original peaks, allowing strong endpoint detection for a 1 percent chrome-loaded mask.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Process monitoring of etched fused silica phase-shift reticles

Cynthia B. Brooks; Melisa J. Buie; Nabila Lehachi Waheed; Patrick M. Martin; Phillip Walsh; Glenn Evans

Alternating Aperture Phase Shift Masks (AAPSMs) are becoming increasingly important as a reticle enhancement technique (RET) in meeting the key lithography requirements for the ITRS Lithography Roadmap. Fused silica etch depth is the critical parameter that determines the phase shift and must be monitored closely for depth and uniformity. At present the methods that measure phase-shift and etch depth, such as interferometry, profilometry, AFM, and SEM, are expensive, slow, and/or destructive, making alternate techniques attractive for a production environment. This paper will present a novel technique, which utilizes a special type of broadband spectrophotometry, specifically developed for high-throughput, accurate, and non-destructive real-time measurements of trench depth. The measurement takes only three seconds per measured site, so that a full uniformity map can be obtained in two to three minutes. The technique involves simultaneous measurement and mapping of reflectance (R) and transmittance (T) from 190-1000 nm and analysis based on the Forouhi-Bloomer dispersion equations, to efficiently and nondestructively monitor trench depth. This new measurement system exhibits excellent repeatability, correlates very closely with AFM, SEM, as well as direct phase shift measurements, and has been proven to perform very well in production environments. Data will be presented from the users (Etec Systems, Applied Materials Mask Business Group) viewpoint.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Optimization of a 65-nm alternating phase-shift quartz etch process

Scott Alan Anderson; Rex B. Anderson; Melisa J. Buie; Madhavi Chandrachood; Jason O. Clevenger; Yvette Lee; Nicole L. Sandlin; Jian Ding

As mask features advance to the 65 nm technology node, the ability to develop advanced phase shifting masks with reliable and repeatable processes is becoming increasingly important. Changes in process conditions (i.e. power, pressure, gases, etc.), play an important role in the reduction of RIE lag, micro-trenching, loading and the improvement of sidewall profiles. In this study, the effects of changing process conditions on the TetraTM II Photomask Etch System were investigated. Process development was conducted to screen for a quartz etch process regime with enhanced performance.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Examination of various endpoint methods for chrome mask etch

Corey Collard; Scott Alan Anderson; Rex B. Anderson; Jason O. Clevenger; Monika Halim; Cynthia B. Brooks; Melisa J. Buie

Accurate determination of endpoint is important for creating a repeatable process that maximizes sidewall profile angle and resist selectivity while maintaining a low etch bias. An Applied Materials EyeD (TM) spectrometer on the Tetra(TM) II photomask etch system is used to examine several endpoint methods to maximize flexibility and productivity. These methods include: slope changes to a single line, slope changes via a ratio of product and etchant species and slope changes of a linear combination of all slope changes. Endpoint identification is typically performed with a single spectral line. In addition, a method using neural networks, or principal component analysis (PCA) has also been created in order to fully optimize and characterize exact endpoint definition. Comparison between these methods will be discussed.


21st Annual BACUS Symposium on Photomask Technology | 2002

Chrome etch for <0.13 μm advanced reticle production

Melisa J. Buie; Brigitte C. Stoehr; Yi-Chiau Huang

The continuous shrinking of design rules results in tighter specifications for advanced chrome dry etch. Specifically, the increasing number of OPC and phase shift layers in mask set for sub 0.13 micrometers technology drives research and development in this area. Chrome layers were traditionally dry etched using a Cl2O2He plasma. While this chemistry has proven to meet the chrome etch requirements in the past its limitations are becoming more and more obvious. In particular, critical dimension control in terms of uniformity and etch bias shows opposing trend lines with the standard chemistry which makes optimization difficult and minimizes the available process space. The Applied Materials Centura photomask etch chamber has been used along with new gas chemistries to provide improved critical dimension control in chrome for binary photomasks. Oxygen and chlorine are responsible for etching chrome. However, these gases alone do not provide the sidewall protection necessary for the excellent critical dimension control required for advanced mask making for 0.13 micrometers and below. In this systematic investigation, experiments show a factor of two improvement in etch bias over the standard chemistry (He/O2Cl2). Excellent CD uniformity is also demonstrated.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Plasma and flow modeling of photomask etch chambers

Edward P. Hammond; Jason O. Clevenger; Melisa J. Buie

The uniformity of critical dimensions is an important aspect of photomask fabrication, and the etch process can be improved by optimizing the geometry of the focus ring that surrounds the mask. Previous experimental results have shown that the focus ring can have a dramatic impact on the variability of critical dimensions on the photomask. Simulations were performed with the Hybrid Plasma Equipment Model (HPEM) software to examine the impact of different focus ring geometries on the plasma characteristics and improve the understanding of the experimental data.

Collaboration


Dive into the Melisa J. Buie's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge