Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Brigitte C. Stoehr is active.

Publication


Featured researches published by Brigitte C. Stoehr.


20th Annual BACUS Symposium on Photomask Technology | 2001

Chrome dry etch process characterization using surface nanoprofiling

Guenther Ruhl; Ralf Dietrich; Ralf Ludwig; Norbert Falk; Troy Morrison; Brigitte C. Stoehr

In this paper we describe the development of a chrome dry etch process on a new type of mask etch tool. One crucial goal was to minimize the CD etch bias. To meet this goal, a procedure for the direct characterization of CD etch bias was developed. The common methods for measuring the CD etch bias as resist-to-chrome CD difference, such as confocal optical microscope or SEM measurement, only give correct results, if the sidewalls are identical to the calibration standard. This is normally not the case as, due to the differing step height of resist and chrome, and the fact that during process development, in particular, the sidewall shapes and angles can vary significantly. Thus, it is very important to use a CD measurement method which takes the sidewall shapes (slope, foot) into account. One novel method is the use of a Scanning Nano Profiler (SNP) which was derived from the AFM principle. In contrast to AFM the use of a special high aspect ratio tip with 90° sidewall angle, in combination with pixelwise scanning of the substrate surface, provides information about the true sidewall shape and CD.


21st Annual BACUS Symposium on Photomask Technology | 2002

Endpoint solution for photomask chrome loads down to 0.25

Melisa J. Buie; Brigitte C. Stoehr; Alex H. Buxbaum; Guenther Ruhl

Endpoint measurement sensitivity requirements in photomask can make or break an etch. The exposed chrome on todays photomask can vary between 0.25 percent and approximately 50 percent. Although excessive overetch does not deleteriously impact the underlying quartz, accurate endpoint detection is essential for preserving the critical dimension (CD) and CD uniformity across the mask. In order to provide a strong endpoint solution for photomask etch, a systematic investigation of etches with varying chrome loads was conducted. Passive monitoring of the optical emission spectra does not impact or interfere with the etch process. Also this method does not need specified endpoint sites on the mask as interferometric methods and provides an integrated endpoint signal over the whole mask area independent of the chrome clearing pattern. Two strong candidate wavelengths for calling endpoint in chrome etch were identified. However, optical emission spectroscopy endpoint detection has two drawbacks, which have historically limited its applicability. Firstly, the exposed area may be too low and/or secondly, the etch rate may be too slow for detection. Both of these concerns have been addressed in this paper by varying the exposed area on the photomasks from 0.25 percent to 99 percent. Endpoint was easily detected even for the slowest possible etch rate and for low exposed area.


Photomask and next-generation lithography mask technology. Conference | 2002

In-situ optical emission spectroscopic examination of chrome etch for photomasks

Rex B. Anderson; Nicole L. Sandlin; Melisa J. Buie; Clyde Su; Ashish Agarwal; Cynthia J. Brooks; Yi-Chiau Huang; Brigitte C. Stoehr

In this paper, optical emission spectroscopy is used to characterize and monitor chrome etch processes on the Etec Tetra photomask etch chamber. Changes in process conditions, such as source power, bias power, pressure, and gas flows have been captured by time-averaged optical emission traces. Using multi-wavelength OES data collected during chrome etching, a fingerprint of the plasma was taken. The fingerprint was generated using a principal component analysis (PCA) technique, which detects spectral correlation between multiple wavelengths. The PCA reduces the dimensionality of the multi-wavelength OES and extracts just the most relevant information. The new variables are created as linear combinations of the original variables. The new principal component peaks diminish more than the original peaks, allowing strong endpoint detection for a 1 percent chrome-loaded mask.


22nd Annual BACUS Symposium on Photomask Technology | 2002

EUVL square mask patterning with TaN absorber

Pei-Yang Yan; Andy Ma; Yi-Chiau Huang; Brigitte C. Stoehr; Juan Valdivia

Using TaN as extreme ultra-violet lithography (EUVL) mask absorber has been previously explored in wafer format. Due to substrate material difference between the square mask format and Si wafer format, e.g., electrical conductivity and thermal conductivity difference, etc., the etch process does not behave the same when mask substrate switches from the Si wafer to the square quartz substrates. With low thermal conductivity on quartz material and no backside cooling, mask etch prefers low power as compared to the Si wafer etch. In the study, we found that for a given source and bias power, the cooling of the substrate plays a role in TaN etch rate and selectivity to the buffer oxide layer. In this paper, we will present detailed study and comparison of TaN EUVL mask absorber etch characteristics for both the Si substrate and the square quartz substrate cases. The effect of source power, bias power, and backside cooling will also be discussed. The etchers used in study to etch TaN film on the wafer substrate and on the square format mask substrate have the similar configuration. With the optimized etch process, we have achieved good TaN etch profile with high etch selectivity to SiO2 buffer layer on the square quartz mask substrate.


21st Annual BACUS Symposium on Photomask Technology | 2002

Chrome etch for <0.13 μm advanced reticle production

Melisa J. Buie; Brigitte C. Stoehr; Yi-Chiau Huang

The continuous shrinking of design rules results in tighter specifications for advanced chrome dry etch. Specifically, the increasing number of OPC and phase shift layers in mask set for sub 0.13 micrometers technology drives research and development in this area. Chrome layers were traditionally dry etched using a Cl2O2He plasma. While this chemistry has proven to meet the chrome etch requirements in the past its limitations are becoming more and more obvious. In particular, critical dimension control in terms of uniformity and etch bias shows opposing trend lines with the standard chemistry which makes optimization difficult and minimizes the available process space. The Applied Materials Centura photomask etch chamber has been used along with new gas chemistries to provide improved critical dimension control in chrome for binary photomasks. Oxygen and chlorine are responsible for etching chrome. However, these gases alone do not provide the sidewall protection necessary for the excellent critical dimension control required for advanced mask making for 0.13 micrometers and below. In this systematic investigation, experiments show a factor of two improvement in etch bias over the standard chemistry (He/O2Cl2). Excellent CD uniformity is also demonstrated.


21st Annual BACUS Symposium on Photomask Technology | 2002

Characterization of an integrated multibeam laser mask-pattern generation and dry etch processing total solution

Alex Buxbaum; Melisa J. Buie; Brigitte C. Stoehr; Warren Montgomery; Scott E. Fuller

As mask specifications continually tighten with the ever- present progression of Moores law, mask manufacturing specifications have become increasingly difficult to achieve. Global process optimization from coast to etch is critical for achieving the required mask performance. As an Applied Materials company, Etec is in a unique position within the maskmaking industry to introduce mask manufacturing solutions that are optimized across a number of process steps. Working with the Applied Materials photomask etch team, Etecs laser mask-patterning group characterized and implemented an integrated process recipe for the deep UV, raster-scan, continuous-wave, laser mask- patterning ALTA 4000 system and the Applied Materials Tetra Photomask Etch System.


Archive | 2000

Method and apparatus for transferring and supporting a substrate

Danny Wang; Dmitry Lubomirsky; Erwin Polar; Brigitte C. Stoehr; Mark Wiltse; Yeuk-Fai Edwin Mok; Frank C. Ma


Archive | 2003

Method and apparatus for etching photomasks

Brigitte C. Stoehr; Michael Welch


Archive | 2002

Methods and apparatus for etching metal layers on substrates

Melisa J. Buie; Brigitte C. Stoehr


Archive | 2003

Methods for etching photolithographic reticles

Thomas P. Coleman; Yi-Chiau Huang; Melisa J. Buie; Lawrence C. Sheu; Brigitte C. Stoehr; Phillip L. Jones

Collaboration


Dive into the Brigitte C. Stoehr's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge