Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Monica Barrett is active.

Publication


Featured researches published by Monica Barrett.


Proceedings of SPIE | 2011

EUV masks under exposure: practical considerations

Emily Gallagher; Gregory McIntyre; Tom Wallow; Sudharshanan Raghunathan; Obert Wood; Louis Kindt; John Whang; Monica Barrett

This paper focuses on the practical side of EUV mask metrology and use. Mask metrics such as film thickness, material properties, feature profile, critical feature size, line edge/width roughness (LER/LWR) and defect levels are measured and monitored on the mask. Any variability in mask properties will be transferred to wafer print results. EUV masks have no pellicle and will be cleaned between exposures to extend use. This additional processing creates new opportunity for modifications to the mask after qualification. This paper quantifies mask variability and the induced change to printed wafer critical dimension (CD). The results are compared to the 56nm wafer pitch targets for CD and LER. This EUV-specific effort is required to determine how close EUV masks are to meeting manufacturing requirements and whether there are areas of development that require additional focus from the industry.


Metrology, inspection, and process control for microlithography. Conference | 2005

The effect of mask substrate and mask process steps on patterned photomask flatness

Kenneth C. Racette; Monica Barrett; Michael S. Hibbs; Max G. Levy

Photomask substrate, blank, and finished mask flatness are becoming more serious concerns for photomask fabrication. Most commercial and captive mask houses now use a combination of mask blanks at various flatness levels from >2.0um to <0.5um, measured as total indicated range, or TIR. As mask feature sizes are reduced, depth of focus becomes significantly smaller, driving the need for tighter flatness specifications. Photomask blank suppliers generally specify mask blank flatness based on measurements of quartz substrates before films are deposited. The mask substrates start with unique, non-flat shapes resulting from polishing and are further deformed by the stress of deposited films. Mask patterning, which removes some of the deposited films, has the potential to change the shape and flatness of the mask. The attachment of a pellicle and frame also has the potential to distort the mask. Since the goal of the mask maker is to provide a finished mask meeting all requirements, including flatness, it is important to understand the effects of each step in the flatness life of the photomask. This paper provides flatness data from the following process steps: quartz substrate, chromium coating, phase shifter coating, resist coating, patterned mask and pelliclized mask. A correlation is made of substrate and blank flatness and shape to finished mask flatness, with proposed practical guidelines for control of final mask flatness.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Influence of the pellicle on final photomask flatness

Richard Wistrom; Dennis M. Hayden; Kenneth C. Racette; Monica Barrett; Andrew J. Watts

Photomask pellicles play an important role in determining final photomask flatness, which is important to photomask optical performance. This study explores the impact of the pellicle frame flatness and pellicle-to-mask adhesive on photomask flatness. In addition, the change in mask flatness as a function of time after pellicle mounting is studied. Implications of these results on photomask manufacture and photolithography are discussed.


19th Annual Symposium on Photomask Technology | 1999

Next-generation lithography mask development at the NGL Mask Center of Competency

Michael J. Lercel; Cameron J. Brooks; Kenneth C. Racette; Christopher Magg; Mark Lawliss; Neal Caldwell; Raymond Walter Jeffer; Kevin W. Collins; Monica Barrett; Steven C. Nash; Michael J. Trybendis; Lucien Bouchard

Mask fabrication is one of the difficult challenges with all Next Generation Lithography (NGL) technologies. X-ray, e-beam projection, and ion-beam projection lithography all use some form of membrane mask, and extreme ultraviolet (EUV) lithography uses a reflective mask. Despite some differences, the various mask technologies share some common features and present similar fabrication difficulties. Over the past several years, the IBM Advanced Mask Facility (AMF) has focused on the fabrication of x-ray masks. Several key accomplishments have been demonstrated including fabricating masks with critical dimensions (CD) as small as 75 nm, producing line monitor masks in a pilot line mode to evaluate mask yields, and fabricating masks to make working microprocessors with the gate level defined by x-ray lithography. The experience on fabricating 1X x-ray masks is now being applied to the other NGL mask technologies. Progress on membrane and absorber materials can be applied to all the technologies, and patterning with advanced e-beam writing with chemically amplified resists utilizes learning from writing and baking on x-ray membrane masks.


Photomask and Next-Generation Lithography Mask Technology XII | 2005

Investigation of pellicle influence on reticle flatness

Christopher Lee; Kenneth C. Racette; Monica Barrett

In lithography systems, the need for increased resolution requires larger numerical apertures and shorter illumination wavelengths. Both of these requirements cause a reduction in the system’s depth of focus resulting in the need for flatter photomasks with specifications under 0.5 micron. Currently the mask blank substrates are measured after polishing, and all subsequent process steps are assumed to have little or no impact on the final mask flatness. With today’s ever tightening flatness requirements, this assumption can no longer be taken for granted. This paper investigates the distortions seen at the reticle surface induced by the mounting of a standard optical pellicle frame to the photomask and relates these distortions to the pellicle frame flatness. The experiment involves using a set of mask blanks that are better than 0.5 micron flatness with similar form errors before attaching the pellicle. Two groups of pellicles are used to create two distinct frame flatness populations: one set assumed to be within specification as purchased; and a second set of pellicles that are intentionally distorted. Mask flatness is compared before and after mounting the pellicles, and all frames are measured for flatness. Correlation between the frame flatness and form to the measured distortion on the reticle surface are made and discussed, and a practical guideline for selecting an appropriate blank flatness and pellicle flatness to achieve the desired reticle flatness is suggested.


Journal of Vacuum Science & Technology B | 2000

Fabrication of masks for electron-beam projection lithography

Michael J. Lercel; Chris Magg; Monica Barrett; Kevin W. Collins; Michael J. Trybendis; Neal Caldwell; Ray Jeffer; Lucien Bouchard

Masks for electron projection lithography (EPL) require the use of thin membranes for either stencil or all membrane scattering masks. The processes of forming the printable patterns before or after the membrane etch step are compared for EPL stencil masks. Image size uniformity and image placement distortions are characterized and indicate, with appropriate process optimization, either process flow is viable for EPL mask manufacture. Image size uniformity within individual membranes has achieved <10 nm (3σ) with the membrane flow process, and the magnitude of process induced image placement distortions is similar for both process flows. Stencil masks have also been fabricated with support rings. The masks with support rings show more repeatable absolute image placement, but the image placement distortion due to patterning is nearly identical for masks with or without a support ring.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Pellicle factors affecting finished photomask flatness

Kenneth C. Racette; A. Watts; Monica Barrett; R. Nolan; Y. Sasaki; Y. Kikuchi; T. Matsumura

Previous work has shown that photomask blank flatness as well as photomask patterning and pelliclization all play an important role in finished photomask flatness. Other work has shown that pellicle mounting techniques and pellicle adhesives play a role as well. In this work, a comparison of the impact of various pellicle types, frame flatness, frame shape and pellicle mounting tools on final photomask flatness will be shown. Pellicles with various adhesives, frame shapes and flatness were mounted on blanks and completed photomasks using several mounting tools and the pellicle induced flatness change was measured. These data will be discussed with the objective of demonstrating the effects of pellicle type and mounting tool on photomask flatness.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Evaluation of Easily Removable Pellicle Adhesive

Nancy Zhou; Monica Barrett; Robert Nolan; Dennis Plouffe; Jason P. Ritter; Alfred Wagner; Michael Caterer; Takashi Mizoguchi; Satoshi Akutagawa; Kevin Duong; Corbin Imai; Chang Wang

With the advancement of technology, the need to produce flatter photomasks is critical to meet strict mask manufacturing requirements. Components such as pellicle mounting techniques, pellicle frame height, frame material and adhesive all play an important role in finished photomask flatness.1-5 In particular, recent studies have shown that adhesive flexibility affect final photomask flatness significantly.6 This has motivated pellicle suppliers to optimize adhesive properties in addition to evaluate new adhesives. The paper describes the joint evaluations between IBM, Toppan and MLI, performed to determine the effect of a new MLI adhesive on the distortion of photomasks. Due to the nature of this adhesive, minimal mounting force is required. As a result of utilizing extreme low mounting pressure, benefits such as decreased flatness distortion and ease of adhesive removal are observed. The goal of this paper is to evaluate this new adhesive offering and understand the various impacts it has on pelliclized photomasks for advanced technologies.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

The relationship between mounting pressure and time on final photomask flatness

Takashi Mizoguchi; Monica Barrett; Satoshi Akutagawa; Michael Caterer; Robert Nolan; Dennis Plouffe; Nancy Zhou

Photomask flatness and image placement specifications for advanced technology masks are becoming more stringent. Therefore, it is important to understand the various factors that affect final photomask flatness due to the direct impact it has on image placement. Past studies have demonstrated that final photomask flatness can be controlled by modifying the mounting process of photomask pellicle as well as changing the pellicle material itself [1][2][3][4]. In particular, our previous results demonstrate the ability to successfully eliminate data deviations by remounting the same pellicle for each experiment. This paper focuses on the relationship between mounting pressure and time on final photomask flatness. Our initial results indicate that mounting time has minimal influence on final photomask flatness; however, final photomask flatness is greatly impacted by varying mounting pressure. Finally we explore the relationship between the final photomask flatness and the image placement for post pellicle mounting onto the photomask.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

A lifetime study of EUV masks

Emily Gallagher; Obert Wood; Louis Kindt; Hirokazu Kato; Uzodinma Okoroanyanwu; John Whang; Monica Barrett; Tom Wallow

Extreme Ultraviolet Lithography (EUVL) offers the promise of dramatically improved resolution at the price of introducing a complex web of new lithographic challenges. The most conspicuous departure from DUV lithography is that exposure the wavelength is reduced from 193 to 13.5nm. Under exposure at this short EUV wavelength, all materials absorb. Consequently the scanner optics and masks must be reflective and wafer exposure occurs in vacuum without a pellicle to protect the mask. This represents a dramatic shift from the current DUV mask use case. For example, the mask will have to be cleaned after exposure to remove contamination accumulated instead of being protected for its lifetime by a transparent pellicle. The impact of cycling through the exposure tool and being cleaned multiple times will be studied using particle inspection, scatterometry, reflectometry and AFM measurements. The results will be used to identify contamination modes and to propose best practices for EUVL mask exposure.

Researchain Logo
Decentralizing Knowledge