Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kenneth C. Racette is active.

Publication


Featured researches published by Kenneth C. Racette.


Metrology, inspection, and process control for microlithography. Conference | 2005

The effect of mask substrate and mask process steps on patterned photomask flatness

Kenneth C. Racette; Monica Barrett; Michael S. Hibbs; Max G. Levy

Photomask substrate, blank, and finished mask flatness are becoming more serious concerns for photomask fabrication. Most commercial and captive mask houses now use a combination of mask blanks at various flatness levels from >2.0um to <0.5um, measured as total indicated range, or TIR. As mask feature sizes are reduced, depth of focus becomes significantly smaller, driving the need for tighter flatness specifications. Photomask blank suppliers generally specify mask blank flatness based on measurements of quartz substrates before films are deposited. The mask substrates start with unique, non-flat shapes resulting from polishing and are further deformed by the stress of deposited films. Mask patterning, which removes some of the deposited films, has the potential to change the shape and flatness of the mask. The attachment of a pellicle and frame also has the potential to distort the mask. Since the goal of the mask maker is to provide a finished mask meeting all requirements, including flatness, it is important to understand the effects of each step in the flatness life of the photomask. This paper provides flatness data from the following process steps: quartz substrate, chromium coating, phase shifter coating, resist coating, patterned mask and pelliclized mask. A correlation is made of substrate and blank flatness and shape to finished mask flatness, with proposed practical guidelines for control of final mask flatness.


Journal of Vacuum Science & Technology B | 2001

Patterning-induced image placement distortions on electron beam projection lithography membrane masks

Michael J. Lercel; Christopher Magg; Mark Lawliss; Carey T. Williams; Neal Caldwell; Robin Ackel; Louis Kindt; Kenneth C. Racette; Phillip L. Reu; Roxann L. Engelstad; R. Scott Mackay

Membrane masks are needed for charged particle lithography and can include both stencil masks and masks with thin continuous membranes. Producing accurate image placement on membrane masks requires careful control of mask shape, pattern writing, and stress control of the mask materials. Pattern density and pattern density gradients also affect image placement (IP) control. This article discusses IP distortions on electron projection lithography masks caused by patterning the imaging layers with low and high density patterns and patterns with large gradients in the density. The process-induced distortion has been found to be largest with the largest vector distortion at the boundary when high pattern density gradients are present. The anisotropic stiffness of the unit cell also affects the process-induced distortion. Qualitatively, the results between continuous membrane and stencil masks show similar characters. The results provide distortion information that could be used to determine the maximum allowab...


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Influence of the pellicle on final photomask flatness

Richard Wistrom; Dennis M. Hayden; Kenneth C. Racette; Monica Barrett; Andrew J. Watts

Photomask pellicles play an important role in determining final photomask flatness, which is important to photomask optical performance. This study explores the impact of the pellicle frame flatness and pellicle-to-mask adhesive on photomask flatness. In addition, the change in mask flatness as a function of time after pellicle mounting is studied. Implications of these results on photomask manufacture and photolithography are discussed.


19th Annual Symposium on Photomask Technology | 1999

Next-generation lithography mask development at the NGL Mask Center of Competency

Michael J. Lercel; Cameron J. Brooks; Kenneth C. Racette; Christopher Magg; Mark Lawliss; Neal Caldwell; Raymond Walter Jeffer; Kevin W. Collins; Monica Barrett; Steven C. Nash; Michael J. Trybendis; Lucien Bouchard

Mask fabrication is one of the difficult challenges with all Next Generation Lithography (NGL) technologies. X-ray, e-beam projection, and ion-beam projection lithography all use some form of membrane mask, and extreme ultraviolet (EUV) lithography uses a reflective mask. Despite some differences, the various mask technologies share some common features and present similar fabrication difficulties. Over the past several years, the IBM Advanced Mask Facility (AMF) has focused on the fabrication of x-ray masks. Several key accomplishments have been demonstrated including fabricating masks with critical dimensions (CD) as small as 75 nm, producing line monitor masks in a pilot line mode to evaluate mask yields, and fabricating masks to make working microprocessors with the gate level defined by x-ray lithography. The experience on fabricating 1X x-ray masks is now being applied to the other NGL mask technologies. Progress on membrane and absorber materials can be applied to all the technologies, and patterning with advanced e-beam writing with chemically amplified resists utilizes learning from writing and baking on x-ray membrane masks.


Photomask and Next-Generation Lithography Mask Technology XII | 2005

Investigation of pellicle influence on reticle flatness

Christopher Lee; Kenneth C. Racette; Monica Barrett

In lithography systems, the need for increased resolution requires larger numerical apertures and shorter illumination wavelengths. Both of these requirements cause a reduction in the system’s depth of focus resulting in the need for flatter photomasks with specifications under 0.5 micron. Currently the mask blank substrates are measured after polishing, and all subsequent process steps are assumed to have little or no impact on the final mask flatness. With today’s ever tightening flatness requirements, this assumption can no longer be taken for granted. This paper investigates the distortions seen at the reticle surface induced by the mounting of a standard optical pellicle frame to the photomask and relates these distortions to the pellicle frame flatness. The experiment involves using a set of mask blanks that are better than 0.5 micron flatness with similar form errors before attaching the pellicle. Two groups of pellicles are used to create two distinct frame flatness populations: one set assumed to be within specification as purchased; and a second set of pellicles that are intentionally distorted. Mask flatness is compared before and after mounting the pellicles, and all frames are measured for flatness. Correlation between the frame flatness and form to the measured distortion on the reticle surface are made and discussed, and a practical guideline for selecting an appropriate blank flatness and pellicle flatness to achieve the desired reticle flatness is suggested.


Journal of Vacuum Science and Technology | 2000

Sputter deposition and annealing of Ta, TaSix and TaBx composite films and their application in next generation lithography masks

Kenneth C. Racette; Cameron J. Brooks; C. Richard Guarnieri; Dennis Hendy

Ta and Ta composites with other elements have been developed as low stress absorbers for x-ray mask technology. These thin films are often produced in small quantities by sputter deposition from targets of pure Ta with chips of the minor elements placed on the target to create the composites. For membrane-mask manufacturing it is important that absorber films have uniform composition, thickness, and low, uniform stress to assure that image size and pattern placement errors are minimal. Since sputtered films containing Ta often have highly compressive stress, several methods have been used to reduce the final stress, including careful control of sputtering conditions, deposition of layered films with different stresses, and thermal annealing. Much data has been reported regarding the effects of thermal annealing of Ta films but less information is available on multiple element films such as TaSix and TaBx. Previous reports on these materials have generally not discussed the behavior of these films under lo...


Photomask Japan '98 Symposium on Photomask and X-Ray Mask Technology V | 1998

Status of x-ray mask development at the IBM Advanced Mask Facility

Kenneth C. Racette

IBMs Advanced Mask Facility in Essex Junction, Vermont, is responsible for the companys x-ray mask technology development and manufacturing. During the first half of 1997, this facility replaced the gold x-ray absorber with a refractory material in order to provide a greater compatibility between proximity x-ray masks and semiconductor manufacturing lines. Significant progress has been made to position x-ray masks are currently being delivered for advanced logic and memory applications. This paper discusses key process improvements such as the implementation of new deposition, annealing, etching and measurement equipment. Performance of critical parameters is presented, including the quality of substrates and absorber film stacks, image size and placement control, and defect density. The technology challenges and IBMs roadmap for application masks below 130nm are also provided.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Pellicle factors affecting finished photomask flatness

Kenneth C. Racette; A. Watts; Monica Barrett; R. Nolan; Y. Sasaki; Y. Kikuchi; T. Matsumura

Previous work has shown that photomask blank flatness as well as photomask patterning and pelliclization all play an important role in finished photomask flatness. Other work has shown that pellicle mounting techniques and pellicle adhesives play a role as well. In this work, a comparison of the impact of various pellicle types, frame flatness, frame shape and pellicle mounting tools on final photomask flatness will be shown. Pellicles with various adhesives, frame shapes and flatness were mounted on blanks and completed photomasks using several mounting tools and the pellicle induced flatness change was measured. These data will be discussed with the objective of demonstrating the effects of pellicle type and mounting tool on photomask flatness.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Low-defect EUVL multilayers on standard-format mask blanks

James A. Folta; Patrick A. Kearney; Cindy C. Larson; Michael K. Crosley; Emily Fisch; Kenneth C. Racette

Fabrication of low defect density mask blanks remains as one of the key challenges to commercialization of Extreme Ultraviolet Lithography (EUVL). A low defect deposition process for EUV-reflective Mo/Si multilayers has been developed on 200mm silicon substrates because of the availability of sensitive, fast defect inspection tools for wafers. A defect level of 0.05 defects/cm2 at 90 nm defect size detection threshold can now be achieved repeatedly on wafers. We upgraded the low defect multilayer deposition (LDD) system to allow coating of standard format 152mm square mask blanks. Mask blank defect inspection was performed at IBM with a typical sensitivity of 100 nm PSL equivalent. We used the single reticle SMIF pod (RSP) standard both for clean, automated handling and for shipping of the mask substrates and blanks. The added defect level during shipping was improved to 0.02 defects/cm2. We achieved a process-added defect density of 0.38 defects/cm2 on a lot of 5 blanks, which was the same defect level as on wafers coated before and after the blanks. We also improved the reflectance and thickness control on blanks to achieve 66.5% EUV reflectance, and a thickness uniformity of 0.07% P-V or 0.04% rms. The improved reflectance and uniformity meet the SEMI P38 specifications for EUVL mask blanks. We have discovered no differences in defect levels or film quality in the coating of standard square format as compared to silicon wafers.


23rd Annual International Symposium on Microlithography | 1998

Characterization of oxynitride hard mask removal processes for refractory x-ray mask fabrication

Cameron J. Brooks; Douglas E. Benoit; Kenneth C. Racette; Denise M. Puisto; Renu Whig; William J. Dauksher; Kevin D. Cummings

Silicon oxynitride removal processes are characterized for incorporation into the refractory x-ray mask fabrication sequence as the hardmask removal step. It is essential that his process not alter final image placement, one of the most critical parameters affecting x-ray mask performance. In this paper, we show that 10:1 buffered HF causes large image placement movement when used on refractory x-ray masks. This is because etching in HF has deleterious effects on TaSi, resulting in highly compressive film stress. Materials analysis indicates the presence of hydrogen in the TaSi films after being exposed to HF, which is most likely affecting the film stress. Alternative processes being investigated include using a more dilute 100:1 buffered HF solution and a CHF3 plasma dry-etch chemistry. Both of these options completely remove the SiON hardmask without causing any significant image placement movement and result in high quality refractory x-ray masks.

Researchain Logo
Decentralizing Knowledge