Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Moshe Preil is active.

Publication


Featured researches published by Moshe Preil.


Japanese Journal of Applied Physics | 2014

Computational simulations and parametric studies for directed self-assembly process development and solution of the inverse directed self-assembly problem

Azat Latypov; Grant Garner; Moshe Preil; Gerard M. Schmid; Wei-Long Wang; Ji Xu; Yi Zou

Approaches to the computational simulation of directed self-assembly (DSA) of block copolymers based on Monte-Carlo methods and self-consistent field theory are presented and reviewed, with an emphasis on computational models of DSA processes usable for fabrication of integrated circuits (ICs). Applications of such models are illustrated by presenting the results of simulations used in the development of DSA fabrication processes. The inverse DSA problem, or DSA proximity correction (DSA PC) problem, is formulated, and the methods for its computational solution are presented. The application of one of these methods is illustrated by demonstrating co-optimization of optical proximity correction (OPC) and DSA PC for IC vias fabricated using a graphoepitaxy DSA process.


Proceedings of SPIE | 2013

Exploration of the directed self-assembly based nano-fabrication design space using computational simulations

Azat Latypov; Moshe Preil; Gerard M. Schmid; Ji Xu; He Yi; Kenji Yoshimoto; Yi Zou

Properly designed geometries of directing pre-patterns broaden the set of lattice symmetries and the local arrangements of patterns achievable by directed self-assembly (DSA) of block copolymers (BCP), compared to the ones achievable in un-directed, bulk systems. We present the results of parametric computational simulation studies, concentrating on exploring the chemoepitaxy or graphoepitaxy directing geometries yielding the DSA structures needed for typical integrated circuits, but not achievable in bulk, undirected annealing of BCP. The examples include the parametric studies of chemoepitaxy and graphoepitaxy DSA patterns etch-transferrable, respectively, into isolated lines and contact hole arrays. The results of the DSA defect simulations are also presented and discussed.


Proceedings of SPIE | 2013

Fabrication of 28nm pitch Si fins with DSA lithography

Gerard M. Schmid; Richard A. Farrell; Ji Xu; Chanro Park; Moshe Preil; Vidhya Chakrapani; Nihar Mohanty; Akiteru Ko; Michael Cicoria; David Hetzer; Mark Somervell; Benjamen Michael Rathsack

Directed Self-Assembly (DSA), as an extension of current state-of-the-art photolithography, has demonstrated the capability for patterning with resolution and cost effectiveness beyond the capability of other techniques. Previous studies of DSA have reported encouraging benchmarks in defect density and throughput capability for the patterning step, and such results provide a foundation for our ongoing efforts to integrate the DSA patterning step into a robust process for fabricating device layers. Here we provide a status report on the integration of two chemoepitaxy DSA patterning methods for the fabrication of 28nm pitch Si fin arrays. In addition to the requirements for a robust pattern transfer process, it is also important to understand the pattern design limitations that are associated with DSA. We discuss some of the challenges and opportunities associated with developing efficient device designs that take advantage of the capabilities of DSA.


Optical Microlithography X | 1997

Minimization of total overlay errors on product wafers using an advanced optimization scheme

Harry J. Levinson; Moshe Preil; Patrick J. Lord

The matching of wafer steppers is accomplished typically by patterning two successive layers, using different steppers of interest for each layer, and measuring the overlay at many points in the exposure field. Matching is considered to be optimized when some metric, such the sum-of-squares of overlay errors, is minimized over all measured points within the field. This is to be contrasted to the situation which arises during the in-line measurement of overlay errors in production, where a far more limited sampling of points is involved. There are several consequences to limited sampling. Adjustable intrafield overlay components, such as magnification, may appear to vary up to several parts-per- million as a consequence of varying chip size. These variations are substantially larger than the normal variations of these components for fixed field sizes, and so have significant consequences for the application of statistical methodologies to the control of overlay components. The width of the distribution of overlay errors across the field may typically increase between 10 to 20 nm (3(sigma) ), with even larger increases in mean shifts, all varying with field size. Reticles may also introduce similar variations, both random and systematic. Reticle beam-writer errors lead to systematic intrafield errors, particularly asymmetric field magnification and field skew. Steppers may compensate for these systematic reticle errors, and step- and-scan systems are more effective at this compensation than step-and-repeat machines. For steppers which have process dependent alignment, this compensation must be determined on products, which leads back to the problems associated with limited sampling. Correction for the overlay errors induced by limited sampling may be accomplished by look-up tables incorporated into the overlay analysis software. For each pair of steppers and each sampling plan, corrections can be applied at each measurement point in order to bring the full field and limited sampling plans into consistency. This will lead to a true minimization of overlay on product and will stabilize statistical process control of overlay components.


great lakes symposium on vlsi | 2015

Directed Self-Assembly Based Cut Mask Optimization for Unidirectional Design

Jiaojiao Ou; Bei Yu; Jhih-Rong Gao; David Z. Pan; Moshe Preil; Azat Latypov

Unidirectional design has attracted lots of attention with the scaling down of technology nodes. However, due to the limitation of traditional lithography, printing the randomly distributed dense cuts becomes a big challenge for highly scaled unidirectional layout. Recently directed self-assembly (DSA) has emerged as a promising lithography technique candidate for cut manufacturing because of its ability to form small cylinders inside the guiding templates and the actual pattern size can be greatly reduced. In this paper, we perform a comprehensive study on the DSA cut mask optimization problem. We first formulate it as integer linear programming (ILP) to assign cuts to different guiding templates, targeting at minimum conflicts and line-end extensions. As ILP may not be scalable for very large size problem, we further propose a speed-up method to decompose the problem into smaller ones and solve them separately. We then merge and legalize the solutions without much loss of result quality. The proposed approaches can be easily extended to handle more DSA guiding patterns with complicated shapes. Experimental results show that our methods can significantly reduce the total number of unresolvable patterns and the line-end extensions for the targeted layouts.


Proceedings of SPIE | 2014

Simulations of spatial DSA morphology, DSA-aware assist features and block copolymer-homopolymer blends

Azat Latypov; Tamer Coskun; Grant Garner; Moshe Preil; Gerard M. Schmid; Ji Xu; Yi Zou

Further enhancements to Monte Carlo and Self-Consistent Field Theory Directed Self-Assembly (DSA) simulation capabilities implemented in GLOBALFOUNDRIES are presented and discussed, along with the results of their applications. We present the simulation studies of DSA in graphoepitaxy confinement wells, where the DSA process parameters are varied in order to determine the optimal set of parameters resulting in a robust and etch transferrable phase morphology. A novel concept of DSA-aware assist features for the optical lithography process is presented and demonstrated in simulations. The results of the DSA simulations and studies for the DSA process using a blend of homopolymers and diblock copolymers are also presented and compared with the simulated diblock copolymer systems.


Proceedings of SPIE | 2015

Considerations for a free-electron laser-based extreme-ultraviolet lithography program

Erik R. Hosler; Obert Wood; William A. Barletta; Pawitter Mangat; Moshe Preil

Recent years have seen great strides in the development of extreme ultraviolet (EUV) laser-produced plasma sources. Field deployed EUV exposure tools are now capable of facilitating advanced technology node development. Nevertheless, as the required manufacturing exposure dose scales, EUV sources must follow suit and provide 500- 1000 W to maintain production throughputs. A free-electron laser (FEL) offers a cost effective, single-source alternative for powering an entire EUV lithography program. FEL integration into semiconductor fab architecture will require both unique facility considerations as well as a paradigm shift in lithography operations. Critical accelerator configurations relating to energy recovery, multi-turn acceleration, and operational mode are discussed from engineering/scientific, cost-minimization, and safety perspectives. Furthermore, the individual components of a FEL (electron injector, RF systems, undulator, etc.) are examined with respect to both design and cost, considering existing technology as well as prospective innovations. Finally, FEL development and deployment roadmaps are presented, focusing on manufacturer deployment for the 5 nm or 3 nm technology nodes.[1-3]


Proceedings of SPIE | 2014

Manufacturability considerations for DSA

Richard A. Farrell; Erik R. Hosler; Gerard M. Schmid; Ji Xu; Moshe Preil; Vinayak Rastogi; Nihar Mohanty; Kaushik Kumar; Michael Cicoria; David Hetzer; Anton deVilliers

Implementation of Directed Self-Assembly (DSA) as a viable lithographic technology for high volume manufacturing will require significant efforts to co-optimize the DSA process options and constraints with existing work flows. These work flows include established etch stacks, integration schemes, and design layout principles. The two foremost patterning schemes for DSA, chemoepitaxy and graphoepitaxy, each have their own advantages and disadvantages. Chemoepitaxy is well suited for regular repeating patterns, but has challenges when non-periodic design elements are required. As the line-space polystyrene-block-polymethylmethacrylate chemoepitaxy DSA processes mature, considerable progress has been made on reducing the density of topological (dislocation and disclination) defects but little is known about the existence of 3D buried defects and their subsequent pattern transfer to underlayers. In this paper, we highlight the emergence of a specific type of buried bridging defect within our two 28 nm pitch DSA flows and summarize our efforts to characterize and eliminate the buried defects using process, materials, and plasma-etch optimization. We also discuss how the optimization and removal of the buried defects impacts both the process window and pitch multiplication, facilitates measurement of the pattern roughness rectification, and demonstrate hard-mask open within a back-end-of-line integration flow. Finally, since graphoepitaxy has intrinsic benefits in terms of design flexibility when compared to chemoepitaxy, we highlight our initial investigations on implementing high-chi block copolymer patterning using multiple graphoepitaxy flows to realize sub-20 nm pitch line-space patterns and discuss the benefits of using high-chi block copolymers for roughness reduction.


Journal of Micro-nanolithography Mems and Moems | 2015

Sensitivity analysis and line edge roughness determination of 28-nm pitch silicon fins using Mueller matrix spectroscopic ellipsometry-based optical critical dimension metrology

Dhairya Dixit; Samuel O’Mullane; Sravan Sunkoju; Abhishek Gottipati; Erik R. Hosler; Vimal Kamineni; Moshe Preil; Nick Keller; Joseph Race; Gangadhara Raja Muthinti; Alain C. Diebold

Abstract. Measurement and control of line edge roughness (LER) is one of the most challenging issues facing patterning technology. As the critical dimensions (CDs) of patterned structures decrease, an LER of only a few nanometers negatively impacts device performance. Here, Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry is used to characterize LER in periodic line-space structures in 28-nm pitch Si fin samples fabricated by directed self-assembly patterning. The optical response of the MM elements is influenced by structural parameters like pitch, CDs, height, and side-wall angle, as well as the optical properties of the materials. Evaluation and decoupling MM element response to LER from other structural parameters requires sensitivity analysis using scatterometry models that include LER. Here, an approach is developed that can be used to characterize LER in Si fins by comparing the optical responses generated by systematically varying the grating shape and measurement conditions. Finally, the validity of this approach is established by comparing the results obtained from power spectral density analysis of top down scanning electron microscope images and cross-sectional transmission electron microscope image of the 28-nm pitch Si fins.


Journal of Micro-nanolithography Mems and Moems | 2016

Directed self-assembly compliant flow with immersion lithography: from material to design and patterning

Yuansheng Ma; Yan Wang; James Word; Junjiang Lei; Joydeep Mitra; Juan Andres Torres; Le Hong; Germain Fenger; Daman Khaira; Moshe Preil; Jongwook Kye; Harry J. Levinson

Abstract. We present a directed self-assembly (DSA) compliant flow for contact/via layers with immersion lithography assuming the graphoepitaxy process for the cylinders’ formation. We demonstrate that the DSA technology enablement needs co-optimization among material, design, and lithography. We show that the number of DSA grouping constructs is countable for the gridded-design architecture. We use template error enhancement factor to choose DSA material, determine grouping design rules, and select the optimum guiding patterns. Our post-pxOPC imaging data show that it is promising to achieve two-mask solution with DSA for the contact/via layer using 193i at 5 nm node.

Collaboration


Dive into the Moshe Preil's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Alain C. Diebold

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Dhairya Dixit

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge