Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mosong Cheng is active.

Publication


Featured researches published by Mosong Cheng.


Journal of Vacuum Science & Technology B | 1999

Moving boundary transport model for acid diffusion in chemically amplified resists

Ebo H. Croffie; Mosong Cheng; Andrew R. Neureuther

We propose a general model for latent image formation in chemically amplified resists. The model is based on a moving boundary acid transport concept that incorporates transient free volume generation and densification. It is based on experimental observation of negligible acid diffusion in polyhydroxysterene below Tg. The model offers insight into the post exposure bake (PEB) reaction mechanism that governs the relief image formation in chemically amplified resists. During PEB, there is a thermally induced deprotection catalyzed by the photogenerated acid that produces volatile by-products thereby generating free volume in the resist polymer. The free volume enhances local diffusivity of the acid. The rapid loss of the volatile products is followed by relaxation of the polymer matrix which eliminates the transient free volume and densifies the polymer. The densified polymer inhibits the diffusion of any acid trapped in the deprotected sites. We present cases where the model reduces to Fickean and case II...


Journal of Vacuum Science & Technology B | 2000

Modeling influence of structural changes in photoacid generators on 193 nm single layer resist imaging

Ebo H. Croffie; Lei Yuan; Mosong Cheng; Andrew R. Neureuther; F. M. Houlihan; Ray Cirelli; Pat G. Watson; Om Nalamasu; Allen H. Gabor

We present recent modeling work aimed at understanding the influence of structural changes in photoacid generators (PAGs) on acid generation efficiency, deprotection efficiency, and photoacid diffusion in 193 nm chemically amplified resists. An analytical model for the postexposure bake process is used to study the reaction and diffusion properties of the various acids generated by the PAGs. Fourier transfer infrared spectroscopy is used to monitor the generation of photoacid during exposure. Resist thickness loss after PEB as a function of exposure dose is related to the deprotection extent to extract the reaction rate parameters. The effects of the acid size and boiling point on process latitude, line end shortening, and line edge roughness are presented. Analytical model predictions of process latitude and line end shortening are also presented and compared to experimental data. In this study, the photogenerated acid with the smallest molar volume and highest boiling point temperature gave the best ove...


Journal of Vacuum Science & Technology B | 2002

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Mosong Cheng; Lei Yuan; Ebo H. Croffie; Andrew R. Neureuther

This article explores a methodology for enhancing the resist resolution and improving resist profile based on confining the photoacid drift/diffusion by an external electric field. A properly offset alternating electric field applied to the resist film during postexposure bake (PEB) can enhance the photoacid drift in the vertical direction, drive acid to the desired direction, and thereby confine the lateral acid diffusion. The experiments were conducted on UVIIHS resist with JEOL electron-beam exposure tool and UVII-10 resist on ASML KrF stepper, respectively. The scanning electron microscopy pictures show that electric-field enhanced PEB can control the resist profiles and increase the verticality of resist sidewalls. Electric-field-enhanced PEB also significantly improves the tolerance of over and underexposure and provides better critical dimension control. It is estimated that it reduces the lateral acid diffusion length by about 70–90 nm in KrF lithography.


Journal of Vacuum Science & Technology B | 2000

Enhancement of resist resolution and sensitivity via applied electric field

Mosong Cheng; Ebo H. Croffie; Lei Yuan; Andrew R. Neureuther

This article presents a methodology for enhancing the resist sensitivity and resolution based on confining the photoacid drift/diffusion by external electric field. An alternating electric field applied to the resist film during postexposure bake can enhance the photoacid drift in the vertical direction, reduce the bake time, and thereby confine the lateral acid diffusion. A mathematical model is presented and a rigorous solution is obtained in the case of Fickean diffusion and constant electric field. The experiments were conducted on UVIIHS resist with a JEOL electron-beam exposure tool. The scanning electron microscope pictures show that electric-field enhanced postexposure bake (PEB) can reduce the PEB time requirement by 30%, and at the same time, improve the sharpness of two-dimensional corners and increase the verticality of resist sidewalls. Electric-field-enhanced PEB also significantly improves the tolerance of overexposure and provides better critical dimension control. It is estimated that it ...


26th Annual International Symposium on Microlithography | 2001

ArF imaging modeling by using resist simulation and pattern matching

Mosong Cheng; Andrew R. Neureuther

This paper presents a methodology for calibrating projection printing imaging/resist models and applying the calibrated models to line-end shortening simulations in the presence of image imperfections. A scheme for extracting monochromatic representations of resist patterns from SEM pictures and comparing them with simulated images is presented. Based on this scheme, a 2-dimensional metric for evaluating the simulation performance is defined and a framework for tuning simulation models is built. The experiments were conducted on a 193nm scanner, with a binary mask whose CDs were measured to eliminate the mask error effects. Comparison of the simulated resist patterns to the SEM micrographs allows evaluation of various levels of physical assumptions on simulation models over the defocus range. Several models were evaluated to quantify the impact of lens aberrations and resist characters on pattern fidelity. Then the effectiveness of these models was further validated by applying the models to simulate small patterns. Aberration effects were found to be very distinctive and a tuned resist modeling was also found to be essential for small features.


Journal of Vacuum Science & Technology B | 2003

Effects of treatment parameters in electric-field-enhanced postexposure bake

Mosong Cheng; Jacob Poppe; Andrew R. Neureuther

This article demonstrates the improved lithographic performance of Shipley UVIIHS with an electric-field treatment during postexposure bake (PEB) for KrF optical exposures. Linewidth trends with treatment are given and explained through simulation of the acid motion. An upward electric field of approximately 10 V/μm was applied during the first 7 s of PEB to crack the surface inhibition, and thereafter the field polarity was altered every 7 s to enhance the acid-catalyzed reactions. Compared with standard PEB, the electric-field treatment resulted in deeper trenches, wider openings and significant compensation for underexposure. In some cases, electric-field-enhanced PEB led to more profile tapering, indicating that a larger downward direct electric field is needed to enhance the deprotection reactions in the lower half of the resist.


26th Annual International Symposium on Microlithography | 2001

Survey of chemically amplified resist models and simulator algorithms

Ebo H. Croffie; Lei Yuan; Mosong Cheng; Andrew R. Neureuther

Modeling has become indespensable tool for chemically amplified resist (CAR) evaluations. It has been used extensively to study acid diffusion and its effects on resist image formation. Several commercial and academic simulators have been developed for CAR process simulation. For commercial simulators such as PROLITH (Finle Technologies) and Solid-C (Sigma-C), the user is allowed to choose between an empirical model or a concentration dependant diffusion model. The empirical model is faster but not very accurate for 2-dimension resist simulations. In this case there is a trade off between the speed of the simulator and the accuracy of the results. An academic simulator such as STORM (U.C. Berkeley) gives the user a choice of different algorithms including Fast Imaging 2nd order finite difference algorithm and Moving Boundary finite element algorithm. A user interested in simulating the volume shrinkage and polymer stress effects during post exposure bake will need the Moving Boundary algorithm whereas a user interested in the latent image formation without polymer deformations will find the Fast Imaging algorithm more appropriate. The Fast Imaging algorithm is generally faster and requires less computer memory. This choice of algorithm presents a trade off between speed and level of detail in resist profile prediction. This paper surveys the different models and simulator algorithms available in the literature. Contributions in the field of CAR modeling including contributions to characterization of CAR exposure and post exposure bake (PEB) processes for different resist systems. Several numerical algorithms and their performances will also be discussed in this paper.


Journal of Vacuum Science & Technology B | 2000

Modeling anomalous depth dependent dissolution effects in chemically amplified resists

Mosong Cheng; Jacek Tyminski; Ebo H. Croffie; Andrew R. Neureuther

A postexposure bake (PEB) model for JSR KRF-K2G resist has been established for bake temperature and time effects based on using large area exposures on production equipment. Data from top antireflective coated (TARC) K2G resist were well behaved and provided key understanding of the PEB processes. Data from K2G without TARC showed inhibition of surface dissolution that is possibly due to photoacid evaporation. Both processes showed an intrinsic thickness reduction of 50 nm in the first 30 s of PEB and then a continued decrease of 4 nm/min of bake time. This model was fit with the dissolution rates deduced from the coated K2G as a function of activated site concentration. The rates from large area data agree well with dissolution rate monitor (DRM) data and the DRM simulation allowed characterization of the depth dependent effects due to evaporation when TARC was not applied. The methodology of large area exposure allowed the simulation parameters to be quantitatively determined effectively.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Methodology for modeling and simulating line-end shortening effects in deep-UV resist

Mosong Cheng; Ebo H. Croffie; Andrew R. Neureuther

This paper present a methodology for modeling and simulating line-end shortening (LES) effects in deep-UV photoresist and calibrating the simulation with experimental data. A reaction/diffusion mode is first calibrated using large area dose-to-clear versus bake time data and thickness loss from a top-to-top bake experiment. SPLAT and STORM are linked to simulate the exposure and post exposure bake processes of chemically amplified resist. A threshold mode is then applied to determine the line-end shortening effects. Verification experiments were conducted on two resists, APEX-E and UVIIHS, for several types of geometric features, and the resist model parameters were fine tuned using the Method of Feasible Direction. The measurement of LES agrees quite well when the simulation using an exponential diffusion model of post exposure bake is used. The fine tuning reduced the RMS error to below the noise level in the experimental data and improve the accuracy in predicting LES to 10 percent of feature size.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Efficient simulation of postexposure bake processes in STORM

Ebo H. Croffie; Mosong Cheng; Marco Antonio Zuniga; Andrew R. Neureuther

An efficient software tool, STORM, is described for simulating 2D line-edge profiles and line-end shortening in chemically-amplified resist (CARs). The most difficult aspect of modeling CARs is emulating the amplification reaction and reaction state dependent transport. The difficulty arises primarily out of the nonlinearity associated with the behavior of diffusion with reacted materials state. These phenomena have important impacts in horizontal and vertical cross section profiles of post- exposure baked resists. They also impact line-ends which are three dimensional but may be approximated using the two horizontal dimensions.

Collaboration


Dive into the Mosong Cheng's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ebo H. Croffie

University of California

View shared research outputs
Top Co-Authors

Avatar

Lei Yuan

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Lei Yuan

University of California

View shared research outputs
Top Co-Authors

Avatar

Bo Wu

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Garth Robins

University of California

View shared research outputs
Top Co-Authors

Avatar

Jacob Poppe

University of California

View shared research outputs
Researchain Logo
Decentralizing Knowledge