Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Nadia Gambino is active.

Publication


Featured researches published by Nadia Gambino.


Journal of Applied Physics | 2010

Measurements of electron energy distribution in tantalum laser-generated plasma

L. Torrisi; D. Mascali; R. Miracoli; S. Gammino; Nadia Gambino; L. Giuffrida; D. Margarone

The time and space resolved characterization of laser-generated pulsed plasmas is useful not only for the comprehension of basic phenomena involved in the plasma generation and following supersonic expansion, but it also permits to control the nonequilibrium process that is useful for many applications (e.g., ion implantation). The “on-line” characterization can be performed by means of Langmuir probes, ion collectors, and ion energy analyzers, in order to measure the plasma temperatures and densities of atoms, ions, and electrons. The investigated plasmas were generated by means of laser pulses with intensity of the order of 109 W/cm2. The contemporary characterization of the electron (through the Langmuir probe) and ion energy distribution functions, EEDF and IEDF, respectively, permits to correlate the ion properties, like charge states and temperatures, with the electron properties, like the shape of the EEDF at different times and distances from the ablated target surface.


Review of Scientific Instruments | 2012

Comparison between off-resonance and electron Bernstein waves heating regime in a microwave discharge ion source.

G. Castro; D. Mascali; Francesco Romano; L. Celona; S. Gammino; D. Lanaia; R. Di Giugno; R. Miracoli; T. Serafino; F. Di Bartolo; Nadia Gambino; G. Ciavola

A microwave discharge ion source (MDIS) operating at the Laboratori Nazionali del Sud of INFN, Catania has been used to compare the traditional electron cyclotron resonance (ECR) heating with an innovative mechanisms of plasma ignition based on the electrostatic Bernstein waves (EBW). EBW are obtained via the inner plasma electromagnetic-to-electrostatic wave conversion and they are absorbed by the plasma at cyclotron resonance harmonics. The heating of plasma by means of EBW at particular frequencies enabled us to reach densities much larger than the cutoff ones. Evidences of EBW generation and absorption together with X-ray emissions due to high energy electrons will be shown. A characterization of the discharge heating process in MDISs as a generalization of the ECR heating mechanism by means of ray tracing will be shown in order to highlight the fundamental physical differences between ECR and EBW heating.


Review of Scientific Instruments | 2014

A hemispherical Langmuir probe array detector for angular resolved measurements on droplet-based laser-produced plasmas.

Nadia Gambino; Markus Brandstätter; Bob Rollinger; Reza S. Abhari

In this work, a new diagnostic tool for laser-produced plasmas (LPPs) is presented. The detector is based on a multiple array of six motorized Langmuir probes. It allows to measure the dynamics of a LPP in terms of charged particles detection with particular attention to droplet-based LPP sources for EUV lithography. The system design permits to temporally resolve the angular and radial plasma charge distribution and to obtain a hemispherical mapping of the ions and electrons around the droplet plasma. The understanding of these dynamics is fundamental to improve the debris mitigation techniques for droplet-based LPP sources. The device has been developed, built, and employed at the Laboratory for Energy Conversion, ETH Zürich. The experimental results have been obtained on the droplet-based LPP source ALPS II. For the first time, 2D mappings of the ion kinetic energy distribution around the droplet plasma have been obtained with an array of multiple Langmuir probes. These measurements show an anisotropic expansion of the ions in terms of kinetic energy and amount of ion charge around the droplet target. First estimations of the plasma density and electron temperature were also obtained from the analysis of the probe current signals.


Journal of Micro-nanolithography Mems and Moems | 2015

Spectral emission properties of a laser-produced plasma light source in the sub-200 nm range for wafer inspection applications

Nadia Gambino; Bob Rollinger; Duane Hudgins; Reza S. Abhari

Abstract. The spectral emission properties of a droplet-based laser-produced plasma are investigated in the vacuum ultraviolet (VUV) range. Measurements are performed with a spectrograph that operates from 30 to 180 nm with a spectral resolution of 0.1 nm. The emission spectra are recorded for different metal droplet targets, namely tin, indium, and gallium. Measurements were performed at different pressure levels of the background gas. Several characteristic emission lines are observed. The spectra are also calibrated in intensity in terms of spectral radiance to allow absolute emission power estimations from the light source in the VUV region. The presented experimental results are relevant for alternative light sources that would be needed for future wafer inspection tools. In addition, the experimental results help to determine the out-of-band radiation emission of a tin-based extreme ultraviolet (EUV) source. By tuning the type of fuel, the laser energies, and the background gas, the laser-produced plasma light source shows good capabilities to be operated as a light source that covers a spectral emission range from the EUV to the sub-200 nm range.


Proceedings of SPIE | 2014

Clean and stable LPP light source for HVM inspection applications

Bob Rollinger; Nadia Gambino; Andrea Z. Giovannini; Luna S. Bozinova; Flori Alickaj; Konrad Hertig; Reza S. Abhari; Fariba Abreau

At the Laboratory for Energy Conversion, ETH Zurich a new tin droplet-based laser-produced plasma source with application in EUV lithography is operational since Q3 2013. The EUV source ALPS II is equipped with a large capacity droplet dispenser and a high power (kW), high repetition rate (>6 kHz) Nd:YAG laser. The new source should address the requirements of high volume manufacturing for different inspection and metrology applications found in EUV lithography. The average source brightness is equal to 350 W/mm2sr. Individual droplet tracking in time and space, which is coupled to a droplet positioning and triggering system helps to increase the pulse-to-pulse EUV emission stability of the source. The lateral droplet stability is on the order of 10-15% of the droplet diameter. The individual droplet triggering yields deviations between the laser trigger and the droplet passage time at the irradiation site of less than 1 us, even for large droplet timing fluctuations (>5%). The in-band EUV radiation is measured with an energy monitor, which is coupled to a fast analog hardware-based integrator. The pulse-to-pulse EUV energy stability for high stability data equals 3% (σ). In the case of window-averaged (0.1 s) data, the EUV stability equals 0.86% (σ). Low stability data is also reported. The large brightness of the presented LPP-based light source can be tuned to adjust the EUV light stability that is required by the inspection tool.


Journal of Physics D | 2016

Neutral cluster debris dynamics in droplet-based laser-produced plasma sources

Duane Hudgins; Nadia Gambino; Bob Rollinger; Reza S. Abhari

The neutral cluster debris dynamics of a droplet-based laser-produced plasma is studied experimentally and analytically. Experiments were done imaging the debris with a high-speed shadowgraph system and using image processing to determine the droplet debris mean radial velocity dependence on laser pulse irradiance E e. The data shows a power law dependence between the mean radial debris velocity and the incident irradiance giving with . A scaled analytical model was derived modeling the plasma ablation pressure on the droplet surface as the primary momentum exchange mechanism between the unablated droplet material and the laser pulse. The relationship between droplet debris trajectory and the droplet alignment with the laser was quantified analytically. The derived analytical model determines that the neutral cluster debris trajectory for an ablated droplet is a function of the laser profile f L, the droplet diameter D and the axial misalignment h between the laser axis and the droplet center. The analytical calculations from these models were found to be in good agreement with the measurements. This analysis has practical significance for understanding ablated droplet debris, droplet deformation by laser pulsing, and droplet breakup from very short timescale shocks.


Journal of Applied Physics | 2015

Angular ion species distribution in droplet-based laser-produced plasmas

Andrea Z. Giovannini; Nadia Gambino; Bob Rollinger; Reza S. Abhari

The angular distribution of the ion species generated from a laser irradiated droplet target is measured. The employed instrument was an electrostatic energy analyzer with differential pumping. Singly and doubly charged ions were detected at an argon ambient gas pressure of 2 × 10−2 mbar. The amount of Sn+ and Sn2+ and their kinetic energy is measured from 45° to 120° from the laser axis. Sn+ expands approximately isotropically, and Sn2+ expansion is peaked towards the incoming laser radiation. The singly charged ion kinetic energy is close to constant over the measurement range, while it decreases by around 30% for Sn2+. A calibrated model of the ion expansion that includes recombinations correctly predicts the mean ion charge distribution. The model is able to qualitatively estimate the influence of the laser wavelength on the mean ion charge distribution. The results show a more pronounced isotropic distribution for shorter wavelengths, and a more forward-peaked distribution for longer wavelengths. The...


Proceedings of SPIE | 2015

LPP light source for actinic HVM inspection applications

Bob Rollinger; Nadia Gambino; Duane Hudgins; Alexander Sanders; Markus Brandstätter; Reza S. Abhari; F. Abreau

EUV sources with high brightness and stability are required for actinic photomask inspection. High availability and cleanliness after IF are additional stringent requirements. EUV lithography is only production ready, if these tools are available with HVM specifications. At the Laboratory for Energy Conversion, ETH Zurich, droplet-based EUV LPP sources have been designed, developed and tested at the system level for the last 8 years and has been commercialized by Adlyte AG. The most advanced facility, namely ALPS II, has been operated as a prototype source for hundreds of hours. In the present work, the EUV plasma is imaged with the help of a pinhole camera. The dimension of the plasma in the direction of the laser axis and the direction of the train equal 60 μm and 70 μm, respectively. The plasma is also imaged using an ICCD with an exposure time of 5 ns. The observed droplet plasma has a characteristic kidney shape. The ICCD is a valuable diagnostic as inspection tools require high pulse-to-pulse reproducibility that cannot be assessed to the full extend using a EUV pinhole camera. Various collector configurations, using either NI or GI, have been integrated into the source. The measurements of the emission characteristics at IF for a GI collector configuration reveal a Gaussian spot shape at IF and a pulse-to-pulse stability of 6.8 % (σ), which matches previous stabilities at the source level. The debris mitigation system employs a three layer strategy between the plasma and IF. Introducing a high momentum flow as a first layer of debris mitigation, the load of tin spots on the collector could be reduced by a factor of 9, hence a significant increase of source life-time is obtained. A quantification by Adlyte of IF cleanliness after 24 hours source operation revealed no relevant contamination with respect to the requirements for Blank Inspection Cleanliness after IF.


IEEE Transactions on Plasma Science | 2013

Study of Laser Plasmas Dynamics Through Real and Virtual Langmuir Probes

Nadia Gambino; D. Mascali; S. Tudisco; Antonello Anzalone; S. Gammino; F. Musumeci; Alessia Spitaleri

A novel technique for laser produced plasmas (LPPs) investigation is proposed. It combines high time resolved measurements using a compact Langmuir probe (LP) with simulated data obtained with a numerical model designated as hybrid laser ablation simulations (HYBLAS). The code simulates charged particle collection with what we will refer to as a virtual LP. With the use of an appropriate experimental setup and with a MATLAB software, which analyzes the experimental I-V curves, LPPs can be investigated properly even if the probe is placed very close to the target surface. The method permits to study the plume expansion with a high temporal resolution and to correctly estimate the self-generated Coulomb electric field inside the plume. It permits to detect the inner structure of the first upcoming expanding plasma. HYBLAS is able to describe the plume expansion at relatively low power densities if the initial conditions are set properly. A direct comparison of the theoretical data with the experimental ones realized on different metal targets shows that this method is able to predict properly the overall plasma expansion in the nanosecond laser pulse duration regime. The virtual probe method was moreover tested by comparing the numerical results with the numerical code called MULTI.


Proceedings of SPIE | 2012

Tin droplets for LPP EUV sources

Bob Rollinger; Luna S. Bozinova; Nadia Gambino; Reza S. Abhari

The tin droplet generator is a key component of EUV LPP sources. Small tin droplets, when combined with a high power laser, form a regenerative target with high CE. A major challenge associated with todays EUV sources is energy stability, which directly correlates with the stability of the fuel delivery system. The LEC droplet dispenser is now in its 5th generation design, with several years of development, including studies of different nozzle types, excitation mechanisms, thermal management approaches and contamination control systems. The dispenser produces droplets in the frequency range required for both metrology and HVM EUV sources. The two relevant instability modes are drop-to-drop jitter and lateral instabilities. The low frequency content of the lateral droplet displacement is compensated by a newly implemented dispenser positioning system. The drop-to-drop jitter, which is studied over 2000 s, equals 11.2% (3σ) of the mean droplet spacing, which makes individual droplet laser triggering necessary. The lateral instabilities, which are mainly relevant in the plane perpendicular to the laser axis, are determined to be in the range of 7.1% (3σ;) of the droplet diameter. The lateral displacements are recorded over 2.2 hrs. The related EUV temporal energy stability (open-loop) is estimated to be 0.35% (3σ) for the worst case scenario, a laser spot size which matches the droplet diameter.

Collaboration


Dive into the Nadia Gambino's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

S. Gammino

Istituto Nazionale di Fisica Nucleare

View shared research outputs
Top Co-Authors

Avatar

D. Mascali

Istituto Nazionale di Fisica Nucleare

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Francesco Romano

Istituto Nazionale di Fisica Nucleare

View shared research outputs
Top Co-Authors

Avatar

L. Celona

Istituto Nazionale di Fisica Nucleare

View shared research outputs
Researchain Logo
Decentralizing Knowledge