Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Osamu Nozawa is active.

Publication


Featured researches published by Osamu Nozawa.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Process development for EUV mask production

Tsukasa Abe; Akiko Fujii; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi; Tsutomu Shoki; Takeyuki Yamada; Osamu Nozawa; Ryo Ohkubo; Masao Ushida

Absorber layer patterning process for low reflectivity tantalum boron nitride (LR-TaBN) absorber layer and chromium nitride (CrN) buffer layer were improved to satisfy high resolution pattern and high level critical dimension (CD) control. To make 100nm and smaller pattern size, under 300nm resist thickness was needed because of resist pattern collapse issue. We developed absorber layer dry etching process for 300nm thickness resist. Absorber layer patterning was done by a consequence of carbon fluoride gas process and chlorine gas process. We evaluated both gas processes and made clear each dry etching character. Sufficient resist selectivity, vertical side wall, good CD control and low buffer layer damage were obtained. Then, we evaluated how buffer layer dry etching affects EUV reflectivity. Finally, we evaluated EUV mask pattern defect inspection and defect repair. Sufficient contrast of mask pattern image and good repair result were obtained using DUV inspection tool and AFM nano-machining tool, respectively.


Photomask and next-generation lithography mask technology. Conference | 2003

Development of attenuating PSM shifter for F2 and high-transmission ArF lithography

Osamu Nozawa; Yuki Shiota; Hideaki Mitsui; Toshiyuki Suzuki; Yasushi Ohkubo; Masao Ushida; Satoshi Yusa; Kenji Noguchi; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi

A new att-PSM shifter for both F2 and high-transmittance ArF lithography was developed. This shifter consists of SiON / TaHf in stacked layers. SiON for phase shift layer has a moderate transmittance and refractive index, and has sufficient laser durability. The TaHf film, which is a transmittance control layer, was effective as a functional layer in mask dry etching. Adopting the 3 step etching procedure, low damage of the quartz surface and less impact to CD shift was realized. It was confirmed that a new shifter has also sufficient feasibility to the mask inspection and repair process.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Recent performance of EUV mask blanks with low-thermal expansion glass substrates

Tsutomu Shoki; Takeyuki Yamada; Shouji Shimojima; Yuuki Shiota; Mitsuharu Tsukahara; Kesahiro Koike; Hiroaki Shishido; Osamu Nozawa; Toshiyuki Sakamoto; Morio Hosoya

A high flatness of 50 nm, zero defects at more than a size of 30 nm and a high reflectivity of more than 66% for extreme ultraviolet (EUV) light are critical issues related to EUV mask blanks. In this paper, progress on these issues and the recent performance of EUV blanks is reported. Steady progress in defect reduction was achieved in the past six years by improving fabrication processes. When inspected by a Lasertec M1350, defect quality as low as 0.02 defects/cm2 at 70-nm sensitivity was demonstrated on a multilayer (ML) blank with a quartz (QZ) substrate. A QZ substrate with a high flatness of around 90 nm peak-to-valley (P-V) on both sides and a high defect quality of 0.006 defects/cm2 at 60-nm sensitivity was obtained using a newly developed polishing process consisting of local polishing, touch polishing and cleaning. The cleaning process was developed for low thermal expansion (LTE) glass to reduce the defects associated with it. Using the cleaning process, the ULETM substrates showed defectivity similar to the QZ substrates. An average flatness of 117 nm P-V, and best flatness of 84 nm P-V on the front side and 56 nm P-V on the back side were obtained on ULE substrates using the new polishing process. Multilayer (ML) blanks with a high defect quality of 0.08 defects/cm2 at 80-nm sensitivity were produced on a ULE substrate. The ML blanks, consisting of 50 bilayers, have high peak reflectivity of more than 66% and excellent uniformity of less than 0.04 nm in centroid wavelength, which meets the desired specifications.


Japanese Journal of Applied Physics | 2007

Direct evaluation of surface roughness of substrate and interfacial roughness in molybdenum/silicon multilayers using extreme ultraviolet reflectometer

Morio Hosoya; Noriyuki Sakaya; Osamu Nozawa; Yuki Shiota; Shoji Shimojima; Tsutomu Shoki; Takeo Watanabe; Hiroo Kinoshita

In this study, we developed a method of measuring the intensity of rays scattered from a molybdenum/silicon (Mo/Si) multilayer film using an extreme ultraviolet (EUV) reflectometer. We examined the correlations between the peak reflectance, the interfacial roughness of multilayer films, and the substrate roughness. We measured the intensity of scattered rays 13.5 nm from the substrate surface for normal smooth quartz (NSQz), supersmooth quartz (SSQz), and Si substrates using the EUV reflectometer. The intensity of rays scattered from the substrate surface was proportional to the atomic force microscopy (AFM) roughness of the surface. For NSQz, there was a particular strong correlation between the surface roughness determined by AFM and the intensity of scattering rays determined using the EUV reflectometer. However, a week correlation was observed for SSQz and Si. The precisions of the AFM and X-ray reflectivity (XRR) measurement were low for the quartz substrate. A direct measurement of the intensity of rays scattered from the substrate surface was used to estimate the relative surface roughness independent of the substrate material. The EUV reflectivity and intensity of rays scattered from the Mo/Si multilayer films with two deposition geometries were measured using the EUV reflectometer. The peak reflectivity was related to the substrate roughness for each deposition geometry. Moreover, the peak reflectivity was related to the intensity of rays scattered from the multilayer films and was not influenced by the deposition geometry. The results obtained using the EUV reflectometer showed an obvious relationship between the intensity of scattering rays and the interfacial roughness of multilayer films.


Japanese Journal of Applied Physics | 2008

Evaluating the Optical Index of Ta and Ta-Based Absorbers for an Extreme Ultraviolet Mask Using Extreme Ultraviolet Reflectometry

Morio Hosoya; Noriyuki Sakaya; Osamu Nozawa; Yuki Shiota; K. Hamamoto; Osamu Nagarekawa; Shoji Shimojima; Tsutomu Shoki; Takeo Watanabe; Hiroo Kinoshita

We developed an accurate method for determining the optical index of Ta and Ta-based absorber layers with added nitrogen, oxygen, and boron for an extreme ultraviolet (EUV) mask using EUV reflectometry. The optical index at EUV wavelengths was derived from the density and atomic concentration of the composite materials. The atomic concentrations of Ta and Ta-based absorbers were determined using X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectrometry (RBS) analysis methods when no inconsistency occurred between the results of the XPS and RBS analyses. The volume densities of the Ta and Ta-based absorbers were determined using RBS and EUV reflectivity measurements with the grazing angle (EUVRG) or reflectivity (EUVR) when no inconsistency was observed between results. Deriving the volume density was necessary to establish the layer structure and layer thickness, and the surface oxidation layer was especially important for determining the correct volume density. The layer structure and thickness were derived using a pattern-fitting method for the XRR spectrum. The extinction coefficients of Ta and Ta-based absorbers stacked using conventional sputtering were lower than the extinction coefficient of an ideal Ta crystal.


Emerging Lithographic Technologies IX | 2005

Development of low damage mask making process on EUV mask with thin CrN buffer layer

Mitsuhiro Kureishi; Ryo Ohkubo; Morio Hosoya; Tsutomu Shoki; Noriyuki Sakaya; Hideo Kobayashi; Osamu Nozawa; Yoh-ichi Usui; Osamu Nagarekawa

Low damage processes for an EUV mask consisting of an LR-TaBN absorber and a thin CrN buffer layer with a thickness of 10-nm have been successfully demonstrated through a dry etching process with high selectivity for the absorber, AFM and EB repair processes, and damage less dry etching process of the CrN buffer layer. Deploying an ICP etching process using CHF3 gas, we achieved high etching selectivity of 40 between the LR-TaBN absorber and the CrN buffer and LR-TaBN absorber patterns with nearly vertical sidewalls of a feature size of 150-nm in width. Damage to the multilayer film and the CrN buffer induced by repair process was evaluated using a LR-TaBN mask with a 10-nm thick CrN buffer layer via AFM machining and EB etching techniques. Cross sectional TEM analysis of the repaired mask indicated that the multilayer film showed no significant structural damage, against optimized AFM and EB repair processes. Since the CrN buffer lost a mere 1 nm in thickness in the EB etching process, EB repair appear to represent a promising damage-free repair technique for EUV masks with CrN buffer layers. The reflectivity loss on the multilayer film, caused by dry etching of CrN buffer layer with Cl2 and O2 mixed gases, was improved by an etching process under relatively high pressure. The CrN buffer layer can be etched for the patterns of 150-nm in width without footing at 50% overetch. The results confirm that the reflectivity losses on multilayer film are within 1% after undergoing the improved CrN buffer etching process.


Photomask and next-generation lithography mask technology. Conference | 2000

Development of halftone phase-shift blank and mask fabrication for ArF lithography

Hideki Suda; Hideaki Mitsui; Osamu Nozawa; Hitoshi Ohtsuka; Megumi Takeuchi; Naoki Nishida; Yasushi Okubo; Masao Ushida

The halftone phase-shift mask has been in practical use for i-line and KrF lithography. In ArF lithography, the HtPSM is also considered to be a promising resolution enhancement technique for its simple structure and fabrication process required. We in HOYA have attempted to expand the applicability of our MoSi-based HtPSM blank technology to ArF lithography, helping extend the life of the existing infrastructure for conventional HtPSM fabrication. We have completed tuning our new MoSi-based film for ArF application. The films optical properties, chemical durability and ArF laser irradiation durability meet industry requirements; and it is compatible with conventional mask-making process and repair techniques for the KrF HtPSM.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Advanced binary film for 193nm lithography extension to sub-32-nm node

Osamu Nozawa; Hiroaki Shishido; Masahiro Hashimoto; Yasushi Ohkubo; Hideaki Mitsui

The proportion of mask fabrication in the total cost budget for IC production is increasing, particularly for the double patterning generation. Prolonging mask lifetime is very effective in reducing the total mask cost. The factors shortening the mask lifetime principally damage by cleaning and by 193nm excimer laser irradiation during wafer exposure. In order to solve these issues, Advanced Binary Film (ABF) was developed that is more durable against 193nm irradiation during wafer exposure, and has superior cleaning durability. We confirmed the dry etching characteristics of the ABF, using 100nm thick Chemically Amplified Resist and exposure by 50keV EB tool. We obtained impressive results from the ABF evaluation, through cycle cleaning tests (simulating cleaning during pellicle re-mounting), ArF irradiation damage and the effects on Critical Dimension changes.


16th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2000

Development of embedded attenuated phase-shifting mask (EAPSM) blanks for ArF lithography

Hideaki Mitsui; Osamu Nozawa; Hitoshi Ohtsuka; Megumi Takeuchi; Hideo Kobayashi; Masao Ushida

The embedded attenuated phase-shift mask (EAPSM) has been in practical use for i-line and deep UV lithography. In 193 nm lithography, too, the EAPSM is considered to be a promising resolution enhancement technique for its simple structure and fabrication process required. We at HOYA have attempted to extend the applicability of MoSi-based EAPSM blanks to 193 nm lithography, helping extend the life of the existing infrastructure for conventional EAPSM fabrication. We have completed tuning our new MoSi-based film for 193 nm lithography and characterized its optical properties, chemical durability, ArF laser exposure durability and mask- making process compatibility.


Photomask Technology 2015 | 2015

High-durability phase-shift film with variable transmittance

Osamu Nozawa; Hiroaki Shishido; Takenori Kajiwara

In order to maintain the lithographic margin and to have sufficient image resolution, attenuated phase shift masks are widely used as a resolution enhancement technique. To improve the radiation durability of the phase shift film, we have developed low oxidation MoSi shifters, such as A6L2, as one option for improving radiation durability. But to provide the best radiation durability, we have developed a new approach eliminating the molybdenum from the phase shift film and introduced a Silicon-Nitride (Si-N) based attenuated phase shift film. Traditionally the transmittance of the phase shift layer is usually around 6%. In the case of a pure Si3N4 film, the transmittance with 180 degree phase shift is around 18%. But, by controlling film structure with a combination of Si-N the transmittance can be tuned to the customers desired transmission value for high durability Mo free attenuated phase shift films.

Collaboration


Dive into the Osamu Nozawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge