Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ryo Ohkubo is active.

Publication


Featured researches published by Ryo Ohkubo.


Photomask and next-generation lithography mask technology. Conference | 2002

Process development of 6-in EUV mask with TaBN absorber

Tsutomu Shoki; Morio Hosoya; Takeru Kinoshita; Hideo Kobayashi; Youichi Usui; Ryo Ohkubo; Shinichi Ishibashi; Osamu Nagarekawa

6-inch EUV masks consisting of Mo/Si multilayers and patterned CrX buffer and TaBN absorber layers have recently been developed and evaluated. Mo/Si multilayers with a relatively high EUV reflectivity of 66 percent and an excellent uniformity were obtained on the polished ULE substrates by an ion beam sputtering method. The multilayers showed high durability to the acid abased cleaning and baking at 150 degrees C used in the conventional mask-making process. The Cr based film was optimized as a repair buffer to obtain a high reflectivity of 52 percent at 257 nm and low stress within 100 MPa. TaBN absorbers with a low reflectivity were obtained by optimizing the film compositions, which resulted in a high image contrast to the multilayer for DUV inspection. An EUV contrast level of 99 percent was achieved for a thinner, 100-nm thick absorber stack. Using the optimized mask process, EUV mask with patterns of 180-nm width were successfully obtained, without a significant drop in EUV reflectivity.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Process development for EUV mask production

Tsukasa Abe; Akiko Fujii; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi; Tsutomu Shoki; Takeyuki Yamada; Osamu Nozawa; Ryo Ohkubo; Masao Ushida

Absorber layer patterning process for low reflectivity tantalum boron nitride (LR-TaBN) absorber layer and chromium nitride (CrN) buffer layer were improved to satisfy high resolution pattern and high level critical dimension (CD) control. To make 100nm and smaller pattern size, under 300nm resist thickness was needed because of resist pattern collapse issue. We developed absorber layer dry etching process for 300nm thickness resist. Absorber layer patterning was done by a consequence of carbon fluoride gas process and chlorine gas process. We evaluated both gas processes and made clear each dry etching character. Sufficient resist selectivity, vertical side wall, good CD control and low buffer layer damage were obtained. Then, we evaluated how buffer layer dry etching affects EUV reflectivity. Finally, we evaluated EUV mask pattern defect inspection and defect repair. Sufficient contrast of mask pattern image and good repair result were obtained using DUV inspection tool and AFM nano-machining tool, respectively.


Journal of Vacuum Science & Technology B | 1998

Nanometer scattered-light alignment system using SiC x-ray masks with low optical transparency

Tsutomu Miyatake; Masaoki Hirose; Tsutomu Shoki; Ryo Ohkubo; Kuniaki Yamazaki

Previously we described a video-based scattered-light alignment (SLA) system, capable of nanometer-scale alignment accuracy. In order to meet highly accurate alignment with low optical transparency in x-ray masks, we performed the modifications of alignment marks and an optical microscope imaging system on the conventional SLA system. The advanced SLA system has achieved a high alignment accuracy of 10.2–15.7 nm (|mean|+3σ) using a silicon carbide (SiC) x-ray mask of 18% optical transparency, coated with 5 nm thick chrome (Cr) film as an etching stop, with four different processed wafers: nitride, oxide, poly-Si, and aluminum. The different SiC membranes of 2–5 μm in thickness did not have an effect on the alignment accuracy in the nitride wafer.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Fabrication of programmed phase defects on EUV multilayer blanks

Takeru Kinoshita; Tsutomu Shoki; Hideo Kobayashi; Ryo Ohkubo; Youichi Usui; Morio Hosoya; Noriyuki Sakaya; Osamu Nagarekawa

Programmed phase defects, at desirably specified sizes and known locations, for EUV multilayer blanks were successfully fabricated by the following newly developed simple technique; depositing Cr film on a 6025 glass substrate or a Si wafer, generating Cr patterns of isolated lines and/or dots by EB lithography, and depositing Mo/Si multilayer of 40-bilayers by ion beam sputtering over the Cr patterns. Thereby, programmed bump defects were created on the multilayer surface over the Cr pattern at the bottom. The programmed defects were observed by TEM and AFM, of which images revealed behavior of the multilayer growth on the Cr patterns. The observed images show that height and full width at half maximum (FWHM) of the bump on the multilayer surface strongly depended on the Cr pattern in height and width, and also incident angle of the sputtered molecular flux to the substrate surface. The multilayer coating at near-normal (vertical) incidence provides a significant amount of smoothing near the Cr patterns. A bump phase defect of 2-nm height and 60-nm FWHM, as the result, was obtained on the multilayer surface using a 5-nm thick Cr pattern, which corresponded to a minimum killer defect for EUV lithography at 45-nm node. The multilayer blanks with the programmed phase defects can be effectively used as a standard for defect inspection tool development and defect printability study. This paper describes a simple fabrication process of the programmed phase defects on EUV multilayer blanks, evaluation results on the programmed phase defects, and growth behaviors of multilayer on various patterns (seed of the defects).


Journal of Vacuum Science & Technology B | 2009

Evaluation of extreme-ultraviolet lithography mask absorber pattern on multilayer phase defect using extreme-ultraviolet microscope

Kazuhiro Hamamoto; Noriyuki Sakaya; Morio Hosoya; M. Kureishi; Ryo Ohkubo; Tsutomu Shoki; Osamu Nagarekawa; J. Kishimoto; Takeo Watanabe; Hiroo Kinoshita

This article concerns the observation of phase defects in an extreme-ultraviolet lithography (EUVL) mask with an extreme-ultraviolet (EUV) microscope developed by the University of Hyogo. The influence of phase defects in a multilayer blank with an absorber pattern on critical dimension was examined. The test mask had line-shaped, programed phase defects at various places relative to the absorber lines. Since the defects were as high as 12 nm, the absorber pattern had a considerable influence on them. In places where a line defect crossed the absorber pattern, the change in critical dimension was proportional to the size of the defect. The experimental results agree well with exposure results obtained using the same mask. They demonstrate that an EUV microscope is a promising tool for evaluating finished EUVL masks and multilayer mask blanks and can eliminate the need for replication tests with an exposure tool.


international microprocesses and nanotechnology conference | 1997

An Ultralow Stress Ta4B Absorber for X-Ray Masks

Tsutomu Shoki; Ryo Ohkubo; Tadashi Sakurai; Takamitsu Kawahara; Norimichi Annaka; Hideki Yabe; Sunao Aya

The stress controllability, stress uniformity, stress stability and dry etching characteristics of Ta4B films deposited by an in-line type sputtering system were investigated in detail. Low average stress Ta4B films within ±10 MPa have been fabricated on polished SiC films that demonstrate excellent reproducibility by step annealing. Stress uniformity of the film showed an approximate range of 7 MPa on a Si wafer in a 30 mm square area when the deposition conditions were modified. The Ta4B film demonstrated long-term stress stability and excellent resistance to the acid and water used in the cleaning process. The Ta4B film also ensures fine pattern formations below 0.2 µ m.


Journal of Vacuum Science & Technology B | 2003

Damage-free extreme ultraviolet mask with TaBN absorber

Tsutomu Shoki; Takeru Kinoshita; Noriyuki Sakaya; Morio Hosoya; Ryo Ohkubo; Yoh-ich Usui; Hideo Kobayashi; Osamu Nagarekawa

This article presents the results of evaluation of process-induced damage and improved reflectivity of an extreme ultraviolet (EUV) mask fabricated using a blank consisting of a multilayer, a Si capping layer, a CrN buffer layer, and a TaBN absorber. Long-term storage causes a centroid wavelength shift and stress change in the multilayer. The multilayer blank annealed at 90 °C was quite stable in centroid wavelength and film stress against resist baking at 135 °C and air storage. After the CrN buffer layer was etched with a mixture of Cl2 and O2 gases, the mask featured reflectivity loss of 1.5% due to the additional oxide layer generated on the Si capping layer. The reflectivity loss was able to be completely restored to its original value by treatment with a diluted HF solution. An EUV mask with a high reflectivity of 65% and excellent reflectivity uniformity of 0.7% 3σ was demonstrated using a blank consisting of a 40-period multilayer and a Si capping layer through a newly developed damage-free proces...


Emerging Lithographic Technologies IX | 2005

Development of low damage mask making process on EUV mask with thin CrN buffer layer

Mitsuhiro Kureishi; Ryo Ohkubo; Morio Hosoya; Tsutomu Shoki; Noriyuki Sakaya; Hideo Kobayashi; Osamu Nozawa; Yoh-ichi Usui; Osamu Nagarekawa

Low damage processes for an EUV mask consisting of an LR-TaBN absorber and a thin CrN buffer layer with a thickness of 10-nm have been successfully demonstrated through a dry etching process with high selectivity for the absorber, AFM and EB repair processes, and damage less dry etching process of the CrN buffer layer. Deploying an ICP etching process using CHF3 gas, we achieved high etching selectivity of 40 between the LR-TaBN absorber and the CrN buffer and LR-TaBN absorber patterns with nearly vertical sidewalls of a feature size of 150-nm in width. Damage to the multilayer film and the CrN buffer induced by repair process was evaluated using a LR-TaBN mask with a 10-nm thick CrN buffer layer via AFM machining and EB etching techniques. Cross sectional TEM analysis of the repaired mask indicated that the multilayer film showed no significant structural damage, against optimized AFM and EB repair processes. Since the CrN buffer lost a mere 1 nm in thickness in the EB etching process, EB repair appear to represent a promising damage-free repair technique for EUV masks with CrN buffer layers. The reflectivity loss on the multilayer film, caused by dry etching of CrN buffer layer with Cl2 and O2 mixed gases, was improved by an etching process under relatively high pressure. The CrN buffer layer can be etched for the patterns of 150-nm in width without footing at 50% overetch. The results confirm that the reflectivity losses on multilayer film are within 1% after undergoing the improved CrN buffer etching process.


Journal of Vacuum Science & Technology B | 1997

Evaluation of alignment accuracy in processed wafers and SiC masks on a scattered-light alignment system for x-ray aligners

Tsutomu Miyatake; Masaoki Hirose; Tsutomu Shoki; Ryo Ohkubo; Kuniaki Yamazaki

The alignment performances of the video-based scattered-light alignment (SLA) system for 0.1 μm lithography are described in this article. The SLA system has high sensitivity to the silicon carbide (SiC) mask without an antireflection coating (ARC). This article especially focuses on the alignment accuracy in processed wafers and the dependency of the alignment accuracy on the SiC membrane thickness. A series of alignment tests was done on a lab-based vertical wafer stage using the SiC masks. In order to evaluate the alignment accuracy in processed wafers, we prepared four processed wafer types: nitride, oxide, poly-Si, and aluminum. The high position sensing repeatability in the range of 4.8–6.4 nm (3σ) was obtained using the combination of the four processed wafers and a 2-μm-thick SiC membrane without the ARC. We also obtained the alignment accuracy using the wafer alignment marks only, resulting in an alignment accuracy of 10.0, 8.8, 9.1, and 35.7 nm (3σ) for the nitride, oxide, poly-si, and aluminum ...


Symposium on Photomask and X-Ray Mask Technology | 1996

Characteristics of Ta4B/SiC x-ray mask blanks

Ryo Ohkubo; Tsutomu Shoki; Hideaki Mitsui; Noromichi Annaka; Yoichi Yamaguchi

Stress controllability and stress distribution of Ta4B absorber on polished SiC films have been investigated. Dry etching behaviors of Cr and Si02 films have been characterized as etch-masking and etch-stopping materials. Xe gas sputtering was found to be effective to obtain higher stress controllability and more uniform stress distribution for Ta4B film compared to Ar gas sputtering. Cr film has been found to have high etching selectivity of more than 15 to the Ta4B film during the ECR etching with Cl2 gas, which is proven to be suitable for etch-masking and etch-stopping layers of the Ta4B absorber.

Collaboration


Dive into the Ryo Ohkubo's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge