Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Paul H. Townsend is active.

Publication


Featured researches published by Paul H. Townsend.


Advanced Materials | 2000

Development of a Low‐Dielectric‐Constant Polymer for the Fabrication of Integrated Circuit Interconnect

Steve Martin; James P. Godschalx; Michael E. Mills; E. O. Shaffer; Paul H. Townsend

For faster, smaller, and higher performance integrated circuits, a low dielectric constant insulator is required to replace silicon dioxide. Here the properties of a new dielectric—SiLK resin, a solution of a low-molecular-weight aromatic thermosetting polymer—are reviewed and examples of its application in the fabrication of interconnect structures, such as the one shown in the Figure, are given.


IEEE Transactions on Electron Devices | 1999

Kinetics of copper drift in low-/spl kappa/ polymer interlevel dielectrics

Alvin L. S. Loke; Jeffrey T. Wetzel; Paul H. Townsend; Tsuneaki Tanabe; Raymond Nicholas Vrtis; Melvin P. Zussman; Devendra Kumar; Changsup Ryu; S. Simon Wong

This paper addresses the drift of copper ions (Cu/sup +/) in various low-permittivity (low-/spl kappa/) polymer dielectrics to identify copper barrier requirements for reliable interconnect integration in future ULSI. Stressing at temperatures of 150-275/spl deg/C and electric fields up to 1.5 MV/cm was conducted on copper-insulator-silicon capacitors to investigate the penetration of Cu/sup +/ into the polymers. The drift properties of Cu/sup +/ in six industrially relevant low-/spl kappa/ organic polymer insulators-parylene-F, benzocyclobutene, fluorinated polyimide, an aromatic hydrocarbon, and two varieties of poly(arylene ether)-were evaluated and compared by capacitance-voltage, current-time, current-voltage, and dielectric time-to-failure measurements. Our study shows that Cu/sup +/ drifts readily into fluorinated polyimide and poly(arylene ether), more slowly into parylene-F, and even more slowly into benzocyclobutene. Among these polymers, the copper drift barrier property appears to be improved by increased polymer crosslinking and degraded by polar functional groups in the polymers. A thin nitride cap layer can stop the drift. A physical model has been developed to explain the kinetics of Cu/sup +/ drift.


Journal of Electronic Materials | 1991

Benzocyclobutene (BCB) dielectrics for the fabrication of high density, thin film multichip modules

David Burdeaux; Paul H. Townsend; Joseph Carr; Philip E. Garrou

A new class of organic dielectrics, benzocyclobutenes, 1, are described and their application to the fabrication of thin film multichip modules is detailed. Key properties for3, a siloxy containing BCB derivative include low dielectric constant (2.7), low loss (0.008 at 1 MHz), low water absorption (0.25% after 24 h water boil) and high degree of planarization (>90% from one layer coverage). All other properties meet the requirements necessary for fabrication of thin film MCM structures.


Microelectronic Engineering | 1997

Benzocyclobutene (DVS-BCB) polymer as an interlayer dielectric (ILD) material

Michael E. Mills; Paul H. Townsend; Dan Castillo; Steve Martin; Albert Achen

Abstract Polymer films of DVS-BCB (CYCLOTENETM 5021) exhibit a combination of material and processing properties which make them an attractive low k interlayer dielectric (ILD) material for integration into IC manufacturing processes. Key DVS-BCB film properties include isotropic dielectric constant (2.65 @ 1 MHz); equilibrium moisture absorption ( 350°C; low alpha-particle emission rates. The DVS-BCB films are easily produced using simple resist spin track equipment and are subsequently cured without generation of corrosive or volatile by-products under anaerobic conditions (less than 100 ppm oxygen). Films are completely cured for typical processing conditions at 250°C for 1 h or as rapidly as 30 s at 325°C. DVS-BCB has been demonstrated to fill 0.20 μm gaps at 5:1 aspect ratios. Fully cured films exhibit greater than 95% degree-of-planarization for isolated feature widths of 20 μm and less; 80% for widths between 20 μm and 100 μm. Currently, the acceptance of DS-BBC is challenged by todays thermal requirements of greater than 400°C for CD-W via/plug and post device/contact anneals. The development of moderate temperature metal deposition processes and reduced final device anneal temperatures would enable implementation of DS-BBC as an LID material with the realization of its low dielectric constant, excellent gap-fill and other attractive features.


MRS Proceedings | 1997

Silk Polymer Coating with Low Dielectric Constant and High Thermal Stability for Ulsi Interlayer Dielectric

Paul H. Townsend; Steven J. Martin; James P. Godschalx; Duane R. Romer; Dennis W. Smith; D. Castillo; Robert A. DeVries; Gary R. Buske; N. Rondan; S. Froelicher; J. Marshall; E. O. Shaffer; J. Im

A novel polymer has been developed for use as a thin film dielectric in the interconnect structure of high density integrated circuits. The coating is applied to the substrate as an oligomeric solution, SiLK*, using conventional spin coating equipment and produces highly uniform films after curing at 400 °C to 450 °C. The oligomeric solution, with a viscosity of ca. 30 cPs, is readily handled on standard thin film coating equipment. Polymerization does not require a catalyst. There is no water evolved during the polymerization. The resulting polymer network is an aromatic hydrocarbon with an isotropie structure and contains no fluorine. The properties of the cured films are designed to permit integration with current ILD processes. In particular, the rate of weight-loss during isothermal exposures at 450 °C is ca. 0.7 wt.%/hour. The dielectric constant of cured SiLK has been measured at 2.65. The refractive index in both the in-plane and out-of-plane directions is 1.63. The flow characteristics of SiLK lead to broad topographic planarization and permit the filling of gaps at least as narrow as 0.1 μm. The glass transition temperature for the fully cured film is greater than 490 °C. The coefficient of thermal expansivity is 66 ppm/°C below the glass transition temperature. The stress in fully cured films on Si wafers is ca. 60 MPa at room temperature. The fracture toughness measured on thin films is 0.62 MPa m ½ . Thin coatings absorb less than 0.25 wt.% water when exposed to 80% relative humidity at room temperature.


Optical Science and Technology, SPIE's 48th Annual Meeting | 2003

Polyfluorenes as organic semiconductors for polymeric field effect transistors

David J. Brennan; Paul H. Townsend; Dean M. Welsh; Mitchell G. Dibbs; Jeff M. Shaw; Jessica L. Miklovich; Robyn B. Boeke; Ana Claudia Arias; Lisa Creswell; J. Devin MacKenzie; Catherine Ramsdale; Anoop Menon; Henning Sirringhaus

Well-characterized F8T2 polyfluorene (Dow Chemical) has been prepared with weight average molecular weights (Mw) ranging from about 20,000 to 120,000. This semiconducting polymer has been used by Plastic Logic to fabricate arrays of 4,800 thin film transistors (TFTs) with 50 dpi, to be used as backplanes for active matrix displays. In this paper, the effects that molecular weight and thermal treatment have on the electrical characteristics of F8T2-based TFTs are reported. First, transistor performance improves with increasing molecular weight, with maximum values of TFT mobility approaching 1x 10-2 cm2 /V-s. Consistently higher mobilities are obtained when the F8T2 semiconductor makes contact with PEDOT/PSS versus gold electrodes. Alignment of F8T2 on a rubbed polyimide substrate is maintained after quenching, as determined by measurement of the dichroic ratios. Early-stage results on the development of inks based on F8T2 polyfluorene are also reported.


MRS Proceedings | 1999

EVALUATION OF COPPER PENETRATION IN LOW- κ POLYMER DIELECTRICS BY BIAS-TEMPERATURE STRESS

Alvin L. S. Loke; S. Simon Wong; N. Talwalkar; Jeffrey T. Wetzel; Paul H. Townsend; Tsuneaki Tanabe; Raymond Nicholas Vrtis; Melvin P. Zussman; Devendra Kumar

The industry is strongly interested in integrating low- κ dielectrics with Damascene copper. Otherwise, with conventional materials, interconnects cannot continue to scale without limiting circuit performance. Integration of copper wiring with silicon dioxide (oxide) requires barrier encapsulation since copper drifts readily in oxide. An important aspect of integrating copper wiring with low- κ dielectrics is the drift behavior of copper ions in these dielectrics, which will directly impact the barrier requirements and hence integration complexity. This work evaluates and compares the copper drift properties in six low- κ organic polymer dielectrics: parylene-F; benzocyclobutene; fluorinated polyimide; an aromatic hydrocarbon; and two varieties of poly(arylene ether). Copper/oxide/polymer/oxide/silicon capacitors are subjected to bias-temperature stress to accelerate penetration of copper from the gate electrode into the polymer. The oxide-sandwiched dielectric stack is used to overcome interface instabilities occurring when a low- κ dielectric is in direct contact with either the gate metal or silicon substrate. The copper drift rates in the various polymers are estimated by electrical techniques, including capacitance-voltage, current-voltage, and current-time measurements. Results correlate well with timeto-breakdown obtained by stressing the capacitor dielectrics. Our study shows that copper ions drift readily into fluorinated polyimide and poly(arylene ether), more slowly into parylene-F, and even more slowly into benzocyclobutene. A qualitative comparison of the chemical structures of the polymers suggests that copper drift in these polymers may possibly be retarded by increased crosslinking and enhanced by polarity in the polymer.


MRS Proceedings | 1998

Electrical Reliability of Cu and Low- K Dielectric Integration

S. Simon Wong; Alvin L. S. Loke; Jeffrey T. Wetzel; Paul H. Townsend; Raymond Nicholas Vrtis; Melvin P. Zussman

The recent demonstrations of manufacturable multilevel Cu metallization have heightened interest to integrate Cu and low- K dielectrics for future integrated circuits. For reliable integration of both materials, Cu may need to be encapsulated by barrier materials since Cu ions (Cu + ) might drift through low- K dielectrics to degrade interconnect and device integrity. This paper addresses the use of electrical testing techniques to evaluate the Cu + drift behavior of low- K polymer dielectrics. Specifically, bias-temperature stress and capacitance-voltage measurements are employed as their high sensitivities are well-suited for examining charge instabilities in dielectrics. Charge instabilities other than Cu + drift also exist. For example, when low- K polymers come into direct contact with either a metal or Si, interface-related instabilities attributed to electron/hole injection are observed. To overcome these issues, a planar Cu/oxide/polymer/oxide/Si capacitor test structure is developed for Cu + drift evaluation. Our study shows that Cu + ions drift readily into poly(arylene ether) and fluorinated polyimide, but much more slowly into benzocyclobutene. A thin nitride cap layer can prevent the penetration.


MRS Proceedings | 1989

The Processing and Properties of Multilayer Interconnection Structures Using Thermoset Films Derived from Bisbenzocyclobutene.

Paul H. Townsend; D. C. Burdeaux; S. F. Hahn; M. Thomsen; J. N. Carr

Multilayer interconnection structures incorporating a novel polymeric dielectric derived from a bis-benzocyclobutene(bis-BCB) monomer have been fabricated. This paper discusses the processing conditions for the construction of these circuits and describes electrical characteristics of the dielectric layers. The relative dielectric constant of the BCB film was 2.7. Thermal cycling produced no significant change in the conductance of three level metal via chains through two layers of the polymer.


MRS Proceedings | 1993

Adhesion of Cyclotene™ (BCB) Coatings on Silicon Substrates

Paul H. Townsend; Donald L. Schmidt; T. M. Stokich; Scott Kisting; D. C. Burdeaux; D. Frye; M.T. Bernius; M. Lanka; K. Berry

This work examines the adhesion of coatings derived from divinylsiloxane bisbenzocyclobutene, mixed stereo and positional isomers of 1,3-bis(2-bicyclo[4.2.0]octa-1,3,5-trien-3-ylethenyl)-1,1,3,3-tetramethyl disiloxane (CAS 117732–87–3), on oxidized silicon substrates treated with silane coupling agents.This material, commercially available as Cyclotene™ 3022, can be used in the construction of high performance electronic circuits, such as multichip modules. Silane coupling agents examined in this study were 3-aminopropyltriethoxysilane (CAS 01760-24-3)(APTES)(, vinyltriethoxysilane (CAS 00078–08–0)(VTES), and 3-methacryloxypropyl trimethoxysilane (CAS 02530–85–0) (MOP-TMS). Measurement of the interfacial adhesion was performed using microindentation. Bond strengths obtained by this method exceed 200 MPa for the most effective coupling agents. However, these high bond strengths were not found to correlate with acceptable adhesive performance in all cases. In addition to the choice and preparation of the coupling agent, process related chemical exposure has been found to be a key element in the observed adhesive performance. The effect of the cure schedule for the thermoset coating has also been found to be a controlling factor. A short cycle test vehicle was developed consisting of a single 20 gIm polymer layer etched with anisotropic sidewalls. This test vehicle was used to evaluate the efficacy of the coupling agents during process exposures and subsequent thermal shock testing. A solution of MOP-TMS pre-hydrolyzed in methanol was found to produce the most reliable interface with high bond strength.

Collaboration


Dive into the Paul H. Townsend's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Dennis W. Smith

University of Texas at Dallas

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge