Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Peter Hahmann is active.

Publication


Featured researches published by Peter Hahmann.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Electron beam direct write: shaped beam overcomes resolution concerns

Ines A. Stolberg; Laurent Pain; Johannes Kretz; Monika Boettcher; Hans-Joachim Doering; Juergen Gramss; Peter Hahmann

In semiconductor industry time to market is one of the key success factors. Therefore fast prototyping and low-volume production will become extremely important for developing process technologies that are well ahead of the current technological level. Electron Beam Lithography has been launched for industrial use as a direct write technology for these types of applications. However, limited throughput rates and high tool complexity have been seen as the major concerns restricting the industrial use of this technology. Nowadays this begins to change. Variable Shaped Beam (VSB) writers have been established in Electron Beam Direct Write (EBDW) on Si or GaAs. In the paper semiconductor industry requirements to EBDW will be outlined. Behind this background the Vistec SB3050 lithography system will be reviewed. The achieved resolution enhancement of the VSB system down to the 22nm node exposure capability will be discussed in detail; application examples will be given. Combining EBDW in a Mix and Match technology with optical lithography is one way to utilize the high flexibility advantage of this technology and to overcome existing throughput concerns. However, to some extend a common Single Electron Beam Technology (SBT) will always be limited in throughput. Therefore Vistecs approach of a system that is based on the massive parallelisation of beams (MBT), which was initially pursued in a European Project, will also be discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Evaluation of fine pattern definition with electron-beam direct writing lithography

Tsann-Bim Chiou; Peter Hahmann; Ming-Chi Liaw; Tiao-Yuan Huang; Simon M. Sze

Electron beam (e-beam) lithography is one of the potential candidates for defining fine patterns smaller than 100 nm. To increase throughput, variably shaped beams with vector scan and cell projection techniques have been proposed on the e- beam system. In order to achieve high pattern fidelity in the e-beam lithography special care must be taken with respect to effects, that could result from shot-to-shot, subfield-to- subfield, and stripe boundaries. The key considerations on the pattern fidelity are dimension control and edge roughness. In this paper, methods to enhance pattern fidelity are proposed and discussed. A Leicas WEPRINT 200 system (Leica Microsystems Lithography GmbH), which exerts exposure while continuously moving the stage technique to increase throughput, is used for evaluating the effectiveness of these methods. For the dimension uniformity, the important task is to master shot butting, subfield and stripe stitching and counteract the proximity effects. By employing beam sizing for proximity effect correction and double-pass exposure to suppress stitching error, the dimension variation is largely eliminated. Several factors including accelerating voltage, beam size, proximity effect, beam blur due to Coulomb interaction, and process controllability are found to affect the CD accuracy. To improve the CD accuracy, pattern-bias compensation and proximity effect correction methods are employed in 0.1 micrometer range and below. Good results on dimension accuracy are obtained by properly considering the intra- and inter-proximity effects. Finally, the performance comparison between these methods is discussed.


Emerging Lithographic Technologies VII | 2003

Mix and match capability of e-beam direct-write for the 65-nm technology

Yves Laplanche; Murielle Charpin; Laurent Pain; J. Todeschini; Daniel Henry; Pierre-Olivier Sassoulas; S. Gough; Ulf Weidenmueller; Peter Hahmann

An easy way to pattern 65nm CD target, when optical lithography technology is not available, is to use an Electron Beam Direct Write tool (EBDW), which is well known for its high resolution patterning potentials, with the drawback of a very low throughput. Emerging techniques of electron projection lithography also propose the same patterning capability with enhanced throughput. One of the most crucial issues, when dealing with integration, is the overlay capability of the systems. This paper exposes the studies made on the overlay capability issue of the LEICA EBDW installed in STMicroelectronics (STM) production plant in Crolles (France) and proves our tool is ready to support the 65nm node technology development.


Photomask and X-Ray Mask Technology II | 1995

ZBA31: an advanced mask writer meeting the demands of the 1-gigabit DRAM generation

Christian Ehrlich; Olaf Fortagne; Peter Hahmann

It is now widely accepted that variable shaped beam ( VSB ) writers have some significant advantages compared to the gaussian principle systems, especially when throughput is considered. The ZBA variable shaped beam system introduced in this paper is the most advanced mask generator from Jenoptik Germany. We have utilized the VSB electron optical concept from the very beginning of designing e-beam systems of the ZBA-series more than 20 years ago. The unique combination of this longstanding experience, more than 120 systems were constructed and comissioned, with some very recent developments in the software and operation logistics of the system, allow the ZBA31H maskwriter system to provide the complete performance that is required to satisfy the demands of the 1 G-DRAM generation masks.


Microelectronic Engineering | 2007

High resolution variable-shaped beam direct write

Peter Hahmann; Lutz Bettin; Monika Boettcher; Ulrich Denker; Thomas Elster; Steffen Jahr; Ulf-Carsten Kirschstein; Karl-Heinz Kliem; Bernd Schnabel


Archive | 1999

Method and device for exposing a substrate to light

Peter Hahmann; Dirk Beyer; Dorothee Krauhs; Thomas Elster


Archive | 2001

Method for exposing a layout comprising multiple layers on a wafer

Peter Hahmann; Eckart Dr. Bergmann


Microelectronic Engineering | 2008

Determination of best focus and optimum dose for variable shaped e-beam systems by applying the isofocal dose method

Katja Keil; Kang-Hoon Choi; C. Hohle; Johannes Kretz; T. Lutz; Lutz Bettin; Monika Boettcher; Peter Hahmann; Karl-Heinz Kliem; Bernd Schnabel; Mathias Irmscher; Holger Sailer


Microelectronic Engineering | 2010

High resolution patterning - Preparation of VSB systems for 22nm node capability

Peter Hahmann; Monika Boettcher; Matthias W. Klein; Ines A. Stolberg; Ulf Weidenmueller


Microelectronic Engineering | 2009

50 years of electron beam lithography: Contributions from Jena (Germany)

Peter Hahmann; Olaf Fortagne

Collaboration


Dive into the Peter Hahmann's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge