Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Peter Hudek is active.

Publication


Featured researches published by Peter Hudek.


23rd Annual BACUS Symposium on Photomask Technology | 2003

90-nm mask making processes using the positive tone chemically amplified resist FEP171

Joerg Butschke; Dirk Beyer; Chris Constantine; Peter Dress; Peter Hudek; Mathias Irmscher; Corinna Koepernik; Christian Krauss; Jason Plumhoff; Peter Voehringer

A mask patterning technology for the 90nm technology node has been developed using the FujifilmARCH resist FEP171 and the state-of-the-art mask making tools SteagHamaTech mask coater ASR5000, Leica 50kV variable shaped e-beam writer SB350, SteagHamaTech developer ASR5000 and UNAXIS Mask Etcher III. A resist resolution of below 100nm dense lines and 150nm contact holes was demonstrated. The line width shrinking due to chrome etching varies between 25nm and 50nm per feature and a corresponding resolution of 125nm dense lines in a 105nm thick chrome absorber has been achieved. The global CD-uniformity with a 3σ of 7.7nm and a total range of 10.8nm met the requirements of the ITRS roadmap. The local uniformity with a 3σ of 3.8nm and a range of 5.6nm offers potential for future application of the Leica SB350. Applying of a new correction method taking electron scattering and process characeristics into account provides a linearity of 6.1nm. In addition, the line width of different featurees was kept in a range up to 12nm when the local pattern density was changed. The composite placement accuracy of 12nm fulfills already the requirements of the 65nm node. A special investigation proved the excellent fogging depression of the SB350.


20th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2004

Shaped beam technology for nano-imprint mask lithography

Peter Hudek; Dirk Beyer; Timothy R. Groves; Olaf Fortagne; William J. Dauksher; David P. Mancini; Kevin J. Nordquist; Douglas J. Resnick

the Leica SB350MW 50keV shaped-beam e-beam lithography tool was used to write large-area 1X templates applicable in Step and Flash Imprint Lithography (S-FIL). This paper describes how information from the pattern analysis can be used to define the ZEP7000 resist exposure optimization technique for the SB350 MW tool together with the Motorola template pattern transfer process to obtain final template images in the transparent template. As a result of the complete process, well-resolved trenches measuring 33 nm and contacts as small as 44nm were obtained. Further improvements in the resist patterning will be possible by an adaptation of our standard proximity corrector (currently used in the 90 nm node maskmaking) with a high resolution upgrade.


Photomask and next-generation lithography mask technology. Conference | 2003

Investigation of e-beam sensitive negative-tone chemically amplified resists for binary mask making

Mathias Irmscher; Lothar Berger; Dirk Beyer; Joerg Butschke; Peter Dress; Thomas Hoffmann; Peter Hudek; Corinna Koepernik; Martin Tschinkl; Peter Voehringer

Negative-tone chemically amplified resists MES-EN1G (JSR), FEN-270 (Fujifilm ARCH), EN-024M (TOK) and NEB-22 (Sumitomo) were evaluated for binary mask making. The investigations were performed on an advanced tool set comprising a 50kV e-beam writer Leica SB350, a Steag Hamatech hot/cool plate module APB5000, a Steag Hamatech developer ASP5000, an UNAXIS MASK ETCHER III and a SEM LEO1560 with integrated CD measurement option. We investigated and compared the evaluated resists in terms of resolution, e-beam sensitivity, resist profile, post exposure bake sensitivity, CD-uniformity, line edge roughness, pattern fidelity and etch resistance. Furthermore, the influence of post coating delay and post exposure delay in vacuum and air was determined.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

Mask patterning process using the negative tone chemically amplified resist TOK OEBR-CAN024

Mathias Irmscher; Dirk Beyer; Joerg Butschke; Peter Hudek; Corinna Koepernik; Jason Plumhoff; Emmanuel Rausa; Mitsuru Sato; Peter Voehringer

Optimized process parameters using the TOK OEBR-CAN024 resist for high chrome load patterning have been determined. A tight linearity tolerance for opaque and clear features, independent on the local pattern density, was the goal of our process integration work. For this purpose we evaluated a new correction method taking into account electron scattering and process influences. The method is based on matching of measured pattern geometry by iterative back-simulation using multiple Gauss and/or exponential functions. The obtained control function acts as input for the proximity correction software PROXECCO. Approaches with different pattern oversize and two Cr thicknesses were accomplished and the results have been reported. Isolated opaque and clear lines could be realized in a very tight linearity range. The increasing line width of small dense lines, induced by the etching process, could be corrected only partially.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Back to square 9: a demonstration of 9 reticle capability

Kevin Cummings; Ludger U. Schneider-Stoermann; Ute Buttgereit; Mathias Irmscher; Dietmar Mueller; Peter Hudek; Dirk Beyer; Bernd Brendel; John M. Whittey; Benjamin George Eynon; Jason Harsch; Chris Constantine; Kirk Miller

This work involved a demonstration of the infrastructure and the ability of mask-making equipment to produce 9 inch reticles. While the choices for this particular work made the timing and logistics long and complicated, we find that there currently exists adequate infrastructure to create 9 inch reticles and we have used this ability to produce several demonstration quality examples.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Mask patterning using chemically amplified resists and the novel STEAG HamaTech Blank Coater ASR5000

Corinna Koepernik; Dirk Beyer; Peter Dress; Thomas Hoffmann; Peter Hudek; Mathias Irmscher; Christian Krauss; Bernd Leibold; Dietmar Mueller; Christian Reuter; Reinhard Springer; Jakob Szekeresch; Peter Voehringer

The new capillary spin (CAP-Spin) coating principle, realized in the STEAG HamaTech ASR5000, was evaluated for mask making using chemically amplified resists. Basic correlations between coating parameters, resist thickness and film uniformity were figured out. We achieved a film thickness uniformity of close to 2% total range after a process optimization based on our investigation results with the positive tone resist JSR KRS-XE. Finally, the performance of ASR coated blanks was assessed on the basis of a binary mask making process using the Fuji FEP171 resist. The ASR5000 was integrated in an advanced tool set and the patterned reticles have met the requirements of the 100nm Technology Node in terms of resolution and CD-uniformity. No correlation between thickness and CD distribution could be observed. The evaluated post coating and post exposure delay influence of FEP171 also confirms the usability of the ASR5000 coated substrates for advanced mask making.


21st Annual BACUS Symposium on Photomask Technology | 2002

Tool and process optimization for 100-nm maskmaking using a 50-kV variable shaped e-beam system

Dirk Beyer; Dirk Loeffelmacher; Gernot Goedl; Peter Hudek; Bernd Schnabel; Thomas Elster

An overview will be presented of high-resolution e-beam lithography equipment issues and processes used in the fabrication of photomasks/reticles needed for 100nm maskmaking. As reported and discussed repeatedly, the emerging advanced optical and next generation lithography for 100nm and beyond requires masks with a well controlled CD variation and high pattern placement accuracy. Our paper shows the possibility of 100nm patterning by using standard resist materials (e.g. ZEP 7000) or other advanced resist materials under optimized processing exposed with a 50keV shaped-beam vector-scan Leica SB350MW mask writer e-beam pattern generator. The presented results will show that this commercially available e-beam system together with built-in exposure optimization methods (proximity, local heating, fogging) meets the challenges of the 100nm device generation with extendibility to at least 70nm. Details of the exposure optimization possibilities, including a flexible determination method of proximity input parameters and resist-pattern transfer methods maintaining the required CD-control will be discussed also.


Archive | 2005

Method for reducing the fogging effect

Peter Hudek; Dirk Beyer; Lemke Melchior


Archive | 2005

Process for controlling the proximity effect correction

Peter Hudek; Dirk Beyer


Microelectronic Engineering | 2004

Fabrication of step and flash imprint lithography templates using a variable shaped-beam exposure tool

William J. Dauksher; David P. Mancini; Kevin J. Nordquist; Douglas J. Resnick; Peter Hudek; Dirk Beyer; Tim Groves; Olaf Fortagne

Collaboration


Dive into the Peter Hudek's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge