Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Joerg Butschke is active.

Publication


Featured researches published by Joerg Butschke.


IEEE Journal of Solid-state Circuits | 2012

A 3.3 V 6-Bit 100 kS/s Current-Steering Digital-to-Analog Converter Using Organic P-Type Thin-Film Transistors on Glass

Tarek Zaki; Frederik Ante; Ute Zschieschang; Joerg Butschke; Florian Letzkus; Harald Richter; Hagen Klauk; Joachim N. Burghartz

A 3.3 V 6-bit binary-weighted current-steering digital-to-converter converter (DAC) using low-voltage organic p-type thin-film transistors (OTFTs) is presented. The converter marks records in speed and compactness owing to an OTFT fabrication process that is based on high-resolution silicon stencil masks. The chip has been fabricated on a glass substrate and consumes an area of 2.6× 4.6 mm2. The converter has a maximum update rate of 100 kS/s and a maximum output voltage swing of 2 V. The measured DNL and INL at an update rate of 1 kS/s are - 0.69 and 1.16 LSB, respectively. A spurious-free dynamic range (SFDR) of 32 dB has been measured for output sinusoids at 31 Hz (update rate of 1 kS/s) and 3.1 kHz (update rate of 100 kS/s).


SPIE's 27th Annual International Symposium on Microlithography | 2002

Large-field ion optics for projection and proximity printing and for maskless lithography (ML2)

Hans Loeschner; Gerhard Stengl; Herbert Buschbeck; Alfred Chalupka; Gertraud Lammer; Elmar Platzgummer; Herbert Vonach; Patrick W.H. de Jager; Rainer Kaesmaier; Albrecht Ehrmann; Stefan Hirscher; Andreas Wolter; Andreas Dietzel; R. Berger; Hubert Grimm; B. D. Terris; Wilhelm H. Bruenger; Dieter Adam; Michael Boehm; Hans Eichhorn; Reinhard Springer; Joerg Butschke; Florian Letzkus; Paul Ruchhoeft; John C. Wolfe

Recent studies carried out with Infineon Technologies have shown the utility of Ion Projection Lithography (IPL) for the manufacturing of integrated circuits. In cooperation with IBM Storage Technology Division the patterning of magnetic films by resist-less Ion Projection Direct Structuring (IPDS) has been demonstrated. With masked ion beam proximity techniques unique capabilities for lithography on non-planar (curved) surfaces are outlined. Designs are presented for a masked ion beam proximity lithography (MIBPL) exposure tool with sub - 20 nm resolution capability within 88 mmo exposure fields. The possibility of extremely high reduction ratios (200:1) for high-volume ion projection mask-less lithography (IP-ML2) is discussed.


Proceedings of SPIE | 2008

Projection maskless lithography (PML2): proof-of-concept setup and first experimental results

Christof Klein; Elmar Platzgummer; Hans Loeschner; G. Gross; P. Dolezel; M. Tmej; V. Kolarik; W. Klingler; Florian Letzkus; Joerg Butschke; Mathias Irmscher; M. Witt; W. Pilz

Projection Mask-Less Lithography (PML2) is a potentially cost-effective multi electron-beam solution for the 32nm-node and beyond. PML2 is targeted on using hundreds of thousands of individually addressable electron-beams working in parallel, thereby pushing the potential throughput into the wafers per hour regime. With resolution limits <10nm, PML2 is designed to meet the requirements of several upcoming tool generations. A PML2 proof-of-concept setup was realized within the framework of the European RIMANA project. It contains all crucial components of a full-fledged PML2 tool and unambiguously demonstrates the operability of multi electron-beam projection optics with 200x reduction. In the PML2 proof-of-concept system more than 2000 switchable beams are generated by a programmable aperture plate system (APS) and projected onto wafer level with 200x demagnification. Current density (~2 A/cm2) and total current (~10 pA) of each beam are the same as in future PML2 tools, resulting in a calculated base resolution below 10nm. The PML2 proof-of-concept column has been successfully tested using resolution templates, verifying 200x reduction and the predicted 22nm hp resolution capability. Furthermore, first custom designed 32nm hp structures were printed into resist coated Si wafers using an APS test-unit. Based on the inputs obtained by the PML2 proof-of-concept system and detailed electron-optical calculations, a fully industry-compatible PML2 Alpha-tool will be realized within the European MAGIC project. Together with the infrastructure developed within MAGIC, this PML2 Alpha-tool promises to herald the introduction of mask-less lithography into the industrial environment.


Metrology, inspection, and process control for microlithography. Conference | 2005

Impact of EUV mask pattern profile shape on CD measured by CD-SEM

Uwe Dersch; Arnd Korn; Cornelia Engelmann; Carl Georg Frase; Wolfgang Haessler-Grohne; Harald Bosse; Florian Letzkus; Joerg Butschke

For extreme ultraviolet lithography (EUVL) the absorber binary mask is until now the most promising mask type. Since at EUV only reflective masks are possible, EUVL will introduce new materials for mask manufacturing. In addition it is likely that the pattern of an EUV mask will consist of a structured double layer system. Therefore, mask CD-SEM metrology for EUVL has to deal with the contrast of rather new materials and has to face a more complex mask pattern topography situation. Using a Monte Carlo model, we simulate the SEM-signals emerging from a given EUV mask pattern topography while scanned by the electron beam of a SEM. The simulation is tuned to closely match the experimental situation of a commercial CD-SEM. Generated SEM images are analyzed by means of a commercial CD-algorithm and a peak detection CD-algorithm. Knowing the exact pattern shape that are fed into the simulation, we determine the effect of specific pattern profile changes on SEM-signal and algorithm specific CD.


Journal of Micro-nanolithography Mems and Moems | 2003

Large-field particle beam optics for projection and proximity printing and for maskless lithography

Hans Loeschner; Gerhard Stengl; Herbert Buschbeck; Alfred Chalupka; Gertraud Lammer; Elmar Platzgummer; Herbert Vonach; Patrick W.H. de Jager; Rainer Kaesmaier; Albrecht Ehrmann; Stefan Hirscher; Andreas Wolter; Andreas Dietzel; Ru¨diger Berger; Hubert Grimm; B. D. Terris; Wilhelm H. Bruenger; Gerhard Gross; Olaf Fortagne; Dieter Adam; Michael Bo¨hm; Hans Eichhorn; Reinhard Springer; Joerg Butschke; Florian Letzkus; Paul Ruchhoeft; John C. Wolfe

Recent studies have shown the utility of ion projection lithography (IPL) for the manufacturing of integrated circuits. In addition, ion projection direct structuring (IPDS) can be used for resistless, noncontact modification of materials. In cooperation with IBM Storage Technology Division, ion projection patterning of magnetic media layers has been demonstrated. With masked ion beam proximity techniques, unique capabilities for lithography on nonplanar (curved) surfaces are outlined. Designs are presented for a masked ion beam proximity lithography (MIBL) and masked ion beam direct structuring (MIBS) tool with sub-20-nm resolution capability within 88-mm□ exposure fields. The possibility of extremely high reduction ratios (200:1) for high-volume projection maskless lithography (projection-ML2) is discussed. In the case of projection-ML2 there are advantages of using electrons instead of ions. Including gray scaling, an improved concept for a ⩽50-nm projection-ML2 system is presented with the potential to meet a throughput of 20 wafers per hour (300 mm).


international solid-state circuits conference | 2011

A 3.3V 6b 100kS/s current-steering D/A converter using organic thin-film transistors on glass

Tarek Zaki; Frederik Ante; Ute Zschieschang; Joerg Butschke; Florian Letzkus; Harald Richter; Hagen Klauk; Joachim N. Burghartz

Organic thin-film transistors (OTFT) processed at low-temperatures offer prospects for a vast number of integrated circuit applications in mechanically flexible, inexpensive, large-area and biomedical electronics [1]. In addition, the low-voltage operation capability of recent OTFTs makes them well-suited for battery-powered or radio frequency-coupled portable devices [2]. In such applications, data conversion to interface the digital processors with the analog world is an essential necessity. Here, we demonstrate a compact 6b current-steering D/A converter (DAC) circuit, built in OTFT technology, which is 1000× faster and 30× smaller than the previously published data for a 6b DAC [3]. These considerable improvements result from an OTFT fabrication process based on silicon stencil masks that provide submicron channel length capability and excellent transistor matching [4], [5].


15th European Conference on Mask Technology for Integrated Circuits and Microcomponents '98 | 1999

PN and SOI wafer flow process for stencil mask fabrication

Joerg Butschke; Albrecht Ehrmann; Ernst Haugeneder; Mathias Irmscher; Rainer Kaesmaier; Karl Kragler; Florian Letzkus; Hans Loeschner; Josef Mathuni; Ivo W. Rangelow; Carsten Reuter; Feng Shi; Reinhard Springer

Two process flows for the fabrication of stencil masks have been developed. The PN Wafer Flow- and the SOI Wafer Flow Process. Membranes and stencil masks out of different 6 inch Si base wafers with 3 micrometers membrane thickness and a membrane diameter between 120 mm and 126 mm were fabricated. The membrane stress depending on the material property and doping level has been determined. First metrology measurements have been carried out.


23rd Annual BACUS Symposium on Photomask Technology | 2003

90-nm mask making processes using the positive tone chemically amplified resist FEP171

Joerg Butschke; Dirk Beyer; Chris Constantine; Peter Dress; Peter Hudek; Mathias Irmscher; Corinna Koepernik; Christian Krauss; Jason Plumhoff; Peter Voehringer

A mask patterning technology for the 90nm technology node has been developed using the FujifilmARCH resist FEP171 and the state-of-the-art mask making tools SteagHamaTech mask coater ASR5000, Leica 50kV variable shaped e-beam writer SB350, SteagHamaTech developer ASR5000 and UNAXIS Mask Etcher III. A resist resolution of below 100nm dense lines and 150nm contact holes was demonstrated. The line width shrinking due to chrome etching varies between 25nm and 50nm per feature and a corresponding resolution of 125nm dense lines in a 105nm thick chrome absorber has been achieved. The global CD-uniformity with a 3σ of 7.7nm and a total range of 10.8nm met the requirements of the ITRS roadmap. The local uniformity with a 3σ of 3.8nm and a range of 5.6nm offers potential for future application of the Leica SB350. Applying of a new correction method taking electron scattering and process characeristics into account provides a linearity of 6.1nm. In addition, the line width of different featurees was kept in a range up to 12nm when the local pattern density was changed. The composite placement accuracy of 12nm fulfills already the requirements of the 65nm node. A special investigation proved the excellent fogging depression of the SB350.


Proceedings of SPIE | 2009

PML2: the maskless multibeam solution for the 22nm node and beyond

Christof Klein; Elmar Platzgummer; J. Klikovits; W. Piller; Hans Loeschner; T. Bejdak; P. Dolezel; V. Kolarik; W. Klingler; Florian Letzkus; Joerg Butschke; Mathias Irmscher; M. Witt; W. Pilz; P. Jaschinsky; F. Thrum; C. Hohle; Johannes Kretz; J. T. Nogatch; A. Zepka

Projection Mask-Less Lithography (PML2) is a potentially cost-effective multi electron-beam solution for the 22 nm half-pitch node and beyond. PML2 is targeted on using hundreds of thousands of individually addressable electron-beams working in parallel, thereby pushing the potential throughput into the wafers per hour regime. With resolution potential of < 10 nm, PML2 is designed to meet the requirements of several upcoming tool generations.


Photomask and next-generation lithography mask technology. Conference | 2002

Comparative evaluation of e-beam sensitive chemically amplified resists for mask making

Mathias Irmscher; Dirk Beyer; Joerg Butschke; Chris Constantine; Thomas Hoffmann; Corinna Koepernik; Christian Krauss; Bernd Leibold; Florian Letzkus; Dietmar Mueller; Reinhard Springer; Peter Voehringer

Positive tone chemically amplified resists CAP209, EP012M (TOK), KRS-XE (JSR) and FEP171 (Fuji) were evaluated for mask making. The investigations were performed on an advanced tool set comprising of a Steag coater ASR5000, Steag developer ASP5000, 50kV e-beam writer Leica SB350, UNAXIS MASK ETCHER III , STS ICP silicon etcher and a CD-SEM KLA8100. We investigated and compared resolution, sensitivity, resist slope, dark field loss, CD-uniformity, line edge roughness, and etch resistance of the evaluated resists. Furthermore, the influence of post coating delay, post exposure delay and other process parameters on the resist performance was determined.

Collaboration


Dive into the Joerg Butschke's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge