Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Philip Paul is active.

Publication


Featured researches published by Philip Paul.


Nano Letters | 2011

Directed placement of gold nanorods using a removable template for guided assembly.

Felix Holzner; Cyrill Kuemin; Philip Paul; James L. Hedrick; Heiko Wolf; Nicholas D. Spencer; Urs T. Duerig; Armin W. Knoll

We have used a temperature sensitive polymer film as a removable template to position, and align, gold nanorods onto an underlying target substrate. Shape-matching guiding structures for the assembly of nanorods of size 80 nm × 25 nm have been written by thermal scanning probe lithography. The nanorods were assembled into the guiding structures, which determine both the position and the orientation of single nanorods, by means of capillary interactions. Following particle assembly, the polymer was removed cleanly by thermal decomposition and the nanorods are transferred to the underlying substrate. We have thus demonstrated both the placement and orientation of nanorods with an overall positioning accuracy of ≈10 nm onto an unstructured target substrate.


Nano Letters | 2013

Thermal Probe Maskless Lithography for 27.5 nm Half-Pitch Si Technology

Lin Lee Cheong; Philip Paul; Felix Holzner; Michel Despont; Daniel J. Coady; James L. Hedrick; Robert D. Allen; Armin W. Knoll; Urs T. Duerig

Thermal scanning probe lithography is used for creating lithographic patterns with 27.5 nm half-pitch line density in a 50 nm thick high carbon content organic resist on a Si substrate. The as-written patterns in the poly phthaladehyde thermal resist layer have a depth of 8 nm, and they are transformed into high-aspect ratio binary patterns in the high carbon content resist using a SiO2 hard-mask layer with a thickness of merely 4 nm and a sequence of selective reactive ion etching steps. Using this process, a line-edge roughness after transfer of 2.7 nm (3σ) has been achieved. The patterns have also been transferred into 50 nm deep structures in the Si substrate with excellent conformal accuracy. The demonstrated process capabilities in terms of feature density and line-edge roughness are in accordance with todays requirements for maskless lithography, for example for the fabrication of extreme ultraviolet (EUV) masks.


Proceedings of SPIE | 2014

Closed-loop high-speed 3D thermal probe nanolithography

Armin W. Knoll; M. Zientek; L. L. Cheong; Colin Rawlings; Philip Paul; Felix Holzner; James L. Hedrick; Daniel J. Coady; Robert D. Allen; U. Dürig

Thermal Scanning Probe Lithography (tSPL) is an AFM based patterning technique, which uses heated tips to locally evaporate organic resists such as molecular glasses [1] or thermally sensitive polymers.[2][3] Organic resists offer the versatility of the lithography process known from the CMOS environment and simultaneously ensure a highly stable and low wear tip-sample contact due to the soft nature of the resists. Patterning quality is excellent up to a resolution of sub 15 nm,[1] at linear speeds of up to 20 mm/s and pixel rates of up to 500 kHz.[4] The patterning depth is proportional to the applied force which allows for the creation of 3-D profiles in a single patterning run.[2] In addition, non-destructive imaging can be done at pixel rates of more than 500 kHz.[4] If the thermal stimulus for writing the pattern is switched off the same tip can be used to record the written topography with Angstrom depth resolution. We utilize this unique feature of SPL to implement an efficient control system for reliable patterning at high speed and high resolution. We combine the writing and imaging process in a single raster scan of the surface. In this closed loop lithography (CLL) approach, we use the acquired data to optimize the writing parameters on the fly. Excellent control is in particular important for an accurate reproduction of complex 3D patterns. These novel patterning capabilities are equally important for a high quality transfer of two-dimensional patterns into the underlying substrate. We utilize an only 3-4 nm thick SiOx hardmask to amplify the 8±0.5 nm deep patterns created by tSPL into a 50 nm thick transfer polymer. The structures in the transfer polymer can be used to create metallic lines by a lift-off process or to further process the pattern into the substrate. Here we demonstrate the fabrication of 27 nm wide lines and trenches 60 nm deep into the Silicon substrate.[5] In addition, the combined read and write approach ensures that the lateral offset between read and write field is minimized. Thus we achieve high precision in marker-less stitching of patterning fields. A 2D cross-correlation technique is used to determine the offset of a neighboring patterning field relative to a previously written field with an accuracy of about 1 nm. We demonstrate stitching of 1 μm2 fields with ~5 nm accuracy and stitching of larger 10x10 μm2 fields with 10 nm accuracy.[6]


29th European Mask and Lithography Conference | 2013

Thermal probe nanolithography: in-situ inspection, high-speed, high-resolution, 3D

Felix Holzner; Philip Paul; Michel Despont; Lin Lee Cheong; James L. Hedrick; U. Dürig; Armin W. Knoll

Heated tips offer the possibility to create arbitrary high-resolution nanostructures by local decomposition and evaporation of resist materials. Turnaround times of minutes are achieved with this patterning method due to the high-speed direct-write process and an in-situ imaging capability. Dense features with 10 nm half-pitch can be written into thin films of organic resists such as self-amplified depolymerization (SAD) polymers or molecular glasses. The patterning speed of tSPL has been increased far beyond usual scanning probe lithography (SPL) technologies and approaches the speed of Gaussian shaped electron beam lithography (EBL) for <30 nm resolution. A single tip can write complex patterns with a pixel rate of 500 kHz and a linear scan speed of 20 mm/s. Moreover, a novel scheme for stitching was developed to extend the patterning area beyond the ≤100 μm range of the piezo stages. A stitching accuracy of 10 nm is obtained without the use of markers. Furthermore, the patterning depth can be controlled independently and accurately (~1 nm) at each position. Thereby, arbitrary 3D structures can be written in a single step. Finally, we demonstrated an all-dry tri-layer pattern transfer concept to create high aspect ratio structures in silicon. Dense fins and trenches with 27 nm half-pitch and a line edge roughness (LER) below 3nm (3σ) have been fabricated.


international conference on solid state sensors actuators and microsystems | 2017

High throughput lithography using thermal scanning probes

Colin Rawlings; Martin Spieser; Christian Schwemmer; T. S. Kulmala; Yu Kyoung Ryu Cho; Simon Bonanni; Urs T. Duerig; Philip Paul; Armin W. Knoll

Thermal scanning probe lithography (t-SPL) has demonstrated unique capabilities for maskless lithography. A heated atomic force microscope tip is used to locally remove a thermally sensitive resist. This process is able to fabricate precise 3D patterns and high resolution structures without the use of charged particles, such as electrons, which have been implicated in substrate damage. Here we outline our work to improve the throughput of t-SPL via integration with a laser writer for the patterning of large features and the development of independently addressable cantilever arrays.


Integrated Photonics Research, Silicon and Nanophotonics | 2015

Fabrication of Regular and Bi-Level Grating Fiber Couplers using Thermal Scanning Probe Lithography

Sasa Ristic; Matthieu Nannini; Philip Paul; Felix Holzner; Peter Grutter

Thermal scanning probe lithography is used for patterning of regular and bi-level grating fiber couplers. Tri-layer pattern transfer is presented as a means of amplifying the 2D and 3D grating patterns into the silicon-on-insulator substrate.


photonics north | 2015

Nano-patterning of single- and bi-level surface-relief gratings using a commercial thermal scanning probe lithography system

Sasa Ristic; Matthieu Nannini; Peter Grutter; Felix Holzner; Philip Paul

The first commercial thermal scanning probe lithography tool is used for patterning of single- and bi-level surface-relief gratings. A modified tri-layer pattern transfer process is proposed to facilitate the fabrication of bi-level gratings.


Nanotechnology | 2011

Rapid turnaround scanning probe nanolithography

Philip Paul; Armin W. Knoll; Felix Holzner; Michel Despont; Urs T. Duerig


Archive | 2012

Scanning probe microscopy cantilever comprising an electromagnetic sensor

Jens Hofrichter; Felix Holzner; Folkert Horst; Philip Paul


Archive | 2012

Accurate deposition of nano-objects on a surface

Urs T. Duerig; Felix Holzner; Cyrill Kuemin; Armin W. Knoll; Philip Paul; Heiko Wolf

Collaboration


Dive into the Philip Paul's collaboration.

Researchain Logo
Decentralizing Knowledge