Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ricardo A. Guazzelli is active.

Publication


Featured researches published by Ricardo A. Guazzelli.


symposium on integrated circuits and systems design | 2012

Return-to-one protocol for reducing static power in C-elements of QDI circuits employing m-of-n codes

Matheus T. Moreira; Ricardo A. Guazzelli; Ney Laert Vilar Calazans

The scaling of microelectronic technologies brings new challenges to the design of complex SoCs. For example, fully synchronous SoCs may soon become unfeasible to build. Asynchronous design techniques increasingly mingle within SoC design procedures to achieve functional and efficient systems, where synchronous modules are independently designed and verified. This is followed by module integration by means of asynchronous interfaces and communication architectures, forming a globally asynchronous, locally synchronous (GALS) system. Among multiple asynchronous design styles, the quasi delay insensitive (QDI) stands out for its robustness to delay variations. When coupled to delay insensitive (DI) codes like m-of-n and to four-phase handshake protocols, the QDI style produces the dominant asynchronous template currently in use. This work presents a technique to reduce the static power consumption of asynchronous QDI circuits using any m-of-n code and a four-phase handshake protocol, by proposing the utilization of a non-classical spacer encoding, namely all-1s. The article shows that the use of the traditional all-0s spacers may lead to static power consumption figures that are in some cases more than twice larger than the static power consumed by all-1s spacers in C-elements, the most common device used in asynchronous templates. Experiments demonstrate the new spacer reduces static power consumption without increase in complexity.


southern conference programmable logic | 2012

HardNoC: A platform to validate networks on chip through FPGA prototyping

Guilherme Heck; Ricardo A. Guazzelli; Fernando Gehm Moraes; Ney Laert Vilar Calazans; Rafael Soares

The use of intrachip buses is no longer a consensus to build interconnection architectures for complex integrated circuits. Networks on chip (NoCs) are a choice in several real designs. However, the distributed nature of NoCs, the huge amount of wires and interfaces of large NoCs can make system/interconnection architecture debugging a nightmare. This work accelerates the NoC validation process using FPGA prototyping. HardNoC is a platform based on simple modules to inject traffic and collect basic statistics of NoCs. It can be used to early validate NoC designs and to provide initial numerical results for NoC evaluation and design.


ieee international symposium on asynchronous circuits and systems | 2014

A New CMOS Topology for Low-Voltage Null Convention Logic Gates Design

Matheus T. Moreira; Michel Evandro Arendt; Ricardo A. Guazzelli; Ney Laert Vilar Calazans

This paper proposes a new transistor topology to design gates required by Null Convention Logic for low voltage operation. The new topology enables implementing all functionalities required by this design style. Extensive simulation results conducted in a 65 nm CMOS technology allow comparing the new topology to popular static and semi-static ones and indicate that the former presents better speed, energy and leakage trade-offs for different voltage levels, demonstrating the suitability of the new topology for low voltage applications. Drawbacks are an area of 4 minimum size transistors and reduced robustness against soft errors, when operating at non-minimum voltages.


great lakes symposium on vlsi | 2014

Hardening QDI circuits against transient faults using delay-insensitive maxterm synthesis

Matheus T. Moreira; Ricardo A. Guazzelli; Guilherme Heck; Ney Laert Vilar Calazans

The correct functionality of quasi-delay-insensitive asynchronous circuits can be jeopardized by the presence and propagation of transient faults. If these faults are latched, they will corrupt data validity and can make the whole circuit to stall, given the strict event ordering constraints imposed by handshaking protocols. This is particularly concerning for the delay-insensitive minterm synthesis logic style, widely adopted by asynchronous designers to implement combinatory quasi-delay-insensitive logic, because it makes extensive use of C-elements and these components are rather vulnerable to transient effects. This paper demonstrates that this logic style submits C-elements to their most vulnerable states during operation. It accordingly proposes the alternative use of the delay-insensitive maxterm synthesis for hardening QDI circuits against transient faults. The latter is a logic style based on the return-to-one 4-phase protocol. Although this style also relies on extensive usage of C-elements, the states where these components are most vulnerable are avoided. Results display improvements of over 300% in C-elements tolerance to transient faults, in the best case.


latin american symposium on circuits and systems | 2017

A comparison of asynchronous QDI templates using static logic

Ricardo A. Guazzelli; Matheus T. Moreira; Ney Laert Vilar Calazans

Asynchronous quasi-delay-insensitive (QDI) circuits are a promising solution for coping with aggressive process variations faced by modern technologies, as they can gracefully accommodate gate and wire delay variations. The literature proposes several QDI design templates with different trade-offs, giving designers a large spectrum of options to use, adapt or even mix. Among these, NULL Convention Logic (NCL), NCL+, Autonomous Signal-Validity Half-Buffer (ASVHB) and Sleep Convention Logic (SCL) are potential alternatives for low and ultra-low power applications. This paper evaluates these four QDI templates through an 8-bit Kogge-Stone full adder case study, showing analysis on cycle time, energy per operation, leakage power, energy-delay product (EDP), leakage-delay product (LDP) and area consumption. It also qualitatively evaluates each template, pointing out specific characteristics that can be suitable for low power applications.


latin american test workshop - latw | 2014

Schmitt trigger on output inverters of NCL gates for soft error hardening: Is it enough?

Ricardo A. Guazzelli; Guilherme Heck; Matheus T. Moreira; Ney Laert Vilar Calazans

Interest in asynchronous circuits has increased in the VLSI research community due to the growing limitations faced during the design of synchronous circuits, which often result in over constrained design and operation. Albeit a wide variety of techniques for designing asynchronous circuits are available, quasi-delay-insensitive approaches are often preferable due to their simple timing analysis and closure. Null Convention Logic is a style that supports quasi-delay-insensitive design and enables power-, area- and speed-efficient circuits using a standard-cell methodology. However, the correct functionality of such circuits can be jeopardized by transients caused by single event effects, which can generate single event upsets. This work evaluates how Schmitt triggers on output inverters can help mitigating such problems in Null Convention Logic gates and if this approach is sufficient.


symposium on integrated circuits and systems design | 2017

Sleep convention logic isochronic fork: an analysis

Ricardo A. Guazzelli; Matheus T. Moreira; Walter Lau Neto; Ney Laert Vilar Calazans

Asynchronous quasi-delay-insensitive (QDI) circuits are a promising solution for coping with aggressive process variations faced by modern technologies, as they can gracefully accommodate gate and wire delay variations. Furthermore, due to their inherent robustness, such circuits are also promising for deep voltage scaling applications, where delays are orders of magnitude larger. However, QDI design has an Achilles heel, which is its associated area and power overhead penalties. These can hamper the adoption of this kind of design in current and future technologies. A recently proposed asynchronous circuit design template, the Sleep Convention Logic (SCL), does reduce these overheads significantly. SCL is an enhancement of the Null Convention Logic, a well-known asynchronous circuit QDI design template. This paper analyzes the architecture of circuits based on SCL, identifies and models associated timing constraints that were not described before. The paper also shows experimentally that respecting such constraints is fundamental to guarantee correct operation of these circuits, especially under low voltage supplies.


symposium on integrated circuits and systems design | 2015

SDDS-NCL Design: Analysis of Supply Voltage Scaling

Ricardo A. Guazzelli; Fernando Gehm Moraes; Ney Laert Vilar Calazans; Matheus T. Moreira

Despite their substantial power savings, voltage scaling design increases the concern about sensitivity to manufacturing process and operating conditions variations. These can induce significant delay changes in fabricated circuits. An elegant approach to cope with these issues is to employ quasi delay-insensitive asynchronous design styles, which allow relaxing timing assumptions, enabling simpler timing closure when compared to clocked solutions. This work explores the effects of supply voltage scaling on a specific class of quasi-delay-insensitive circuits called spatially distributed dual spacer null convention logic (SDDS-NCL). It first analyzes basic SDDS-NCL gates from a 65 nm cell library. The analysis explores the effects of supply voltage scaling on isolated cells, encompassing static power, energy and delay trade-offs. Next, it shows the results of a similar analysis applied to a 324-cell case study circuit. Results indicate that the evaluated class of circuits can significantly benefit from sub- and near-threshold operation to trade off energy efficiency and performance.


international conference on electronics, circuits, and systems | 2012

Return-to-One DIMS logic on 4-phase m-of-n asynchronous circuits

Matheus T. Moreira; Ricardo A. Guazzelli; Ney Laert Vilar Calazans


Archive | 2015

Analysis of Supply Voltage Scaling on SDDS-NCL Design

Ney Laert Vilar Calazans; Matheus T. Moreira; Fernando Gehm Moraes; Ricardo A. Guazzelli

Collaboration


Dive into the Ricardo A. Guazzelli's collaboration.

Top Co-Authors

Avatar

Ney Laert Vilar Calazans

Pontifícia Universidade Católica do Rio Grande do Sul

View shared research outputs
Top Co-Authors

Avatar

Matheus T. Moreira

Pontifícia Universidade Católica do Rio Grande do Sul

View shared research outputs
Top Co-Authors

Avatar

Fernando Gehm Moraes

Pontifícia Universidade Católica do Rio Grande do Sul

View shared research outputs
Top Co-Authors

Avatar

Guilherme Heck

Pontifícia Universidade Católica do Rio Grande do Sul

View shared research outputs
Top Co-Authors

Avatar

Michel Evandro Arendt

Pontifícia Universidade Católica do Rio Grande do Sul

View shared research outputs
Top Co-Authors

Avatar

Rafael Soares

Pontifícia Universidade Católica do Rio Grande do Sul

View shared research outputs
Top Co-Authors

Avatar

Walter Lau Neto

Pontifícia Universidade Católica do Rio Grande do Sul

View shared research outputs
Researchain Logo
Decentralizing Knowledge