Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shay Wolfling is active.

Publication


Featured researches published by Shay Wolfling.


Journal of The Optical Society of America A-optics Image Science and Vision | 2005

Spatial phase-shift interferometry--a wavefront analysis technique for three-dimensional topometry.

Shay Wolfling; Emmanuel Lanzmann; Moshe Israeli; Nissim Ben-Yosef; Yoel Arieli

We describe a new wavefront analysis method, in which certain wavefront manipulations are applied to a spatially defined area in a certain plane along the optical axis. These manipulations replace the reference-beam phase shifting of existing methods, making this method a spatial phase-shift interferometry method. We demonstrate the systems dependence on a defined spatial Airy number, which is the ratio of the characteristic dimension of the manipulated area and the Airy disk diameter of the optical system. We analytically obtain the resulting intensity data of the optical setup and develop various methods to accurately reconstruct the inspected wavefront out of the data. These reconstructions largely involve global techniques, in which the entire wavefronts pattern affects the reconstruction of the wavefront in any given position. The methods noise sensitivity is analyzed, and actual reconstruction results are presented.


Applied Optics | 2006

Wavefront reconstruction by spatial-phase-shift imaging interferometry

Shay Wolfling; Emmanuel Lanzmann; Nissim Ben-Yosef; Yoel Arieli

Common-path imaging interferometers offer some advantages over other interferometers, such as insensitivity to vibrations and the ability to be attached to any optical system to analyze an imaged wavefront. We introduce the spatial-phase-shift imaging interferometry technique for surface measurements and wavefront analysis in which different parts of the wavefront undergo certain manipulations in a certain plane along the optical axis. These manipulations replace the reference-beam phase shifting of existing interferometry methods. We present the mathematical algorithm for reconstructing the wavefront from the interference patterns and detail the optical considerations for implementing the optical system. We implemented the spatial phase shift into a working system and used it to measure a variety of objects. Measurement results and comparison with other measurement methods indicate that this approach improves measurement accuracy with respect to existing quantitative phase-measurement methods.


Optics Letters | 2004

Generalized method for wave-front analysis

Shay Wolfling; Nissim Ben-Yosef; Yoel Arieli

We suggest and demonstrate a new method for wave-front analysis based on common-path phase-shift interferometry. We introduce a formalism and an iterative mathematical algorithm in which the wave front is transformed, modified, and inversely transformed. The resulting intensity data are sufficient to reconstruct the entire wave front. In a more restricted case, in which the wave-front modifications are arbitrarily applied over arbitrary spatial regions of the wave front, the wave front is reconstructed semianalytically by use of a model that allows a local solution, followed by an iterative algorithm. Measurement results indicating that the suggested approach has an improved measurement accuracy with respect to existing quantitative phase measurement methods are presented.


Proceedings of SPIE | 2017

Scatterometry control for multiple electron beam lithography

Yoann Blancquaert; Nivea Figueiro; Thibault Labbaye; Francisco Sanchez; Stephane Heraud; Roy Koret; Matthew Sendelbach; Ralf Michel; Shay Wolfling; Stephane Rey; Laurent Pain

The evaluation of scatterometry for monitoring intended variations in innovative scatterometry targets that mimic nonuniformities potentially caused by multibeam Maskless Lithography (MEB-ML2) is presented. Specialized scatterometry targets consisting of lines and spaces were produced that have portions exposed using the nominal, or POR (Process of Record), dose, and portions exposed with a slightly different dose. These exposure plans created targets with different line CDs (critical dimensions). Multiple target designs were implement, each with a different combination of magnitude of CD shift and size of the region containing lines with a shifted CD. The scatterometry, or OCD (Optical Critical Dimension), spectra show clear shifts caused by the regions with shifted CD, and trends of the scatterometry results match well with trends of the estimated CD as well as the trends produced by measurements using a critical dimension scanning electron microscope (CD-SEM) system. Finally, the OCD results are correlated to the CD-SEM measurements. Taking into account resist morphology variations across the wafer, correlations between OCD and CD-SEM of the weighted average CD across the various targets are shown to be very good. Correlations are done using the rigorous TMU analysis methodology. Due to the different targeted CD values within each scatterometry structure, a new methodology for estimating the error of the CD-SEM measurements for nominally non-uniform targets is presented.


Proceedings of SPIE | 2016

Advanced in-line metrology strategy for self-aligned quadruple patterning

Robin Chao; Mary Breton; Benoit L'herron; Brock Mendoza; Raja Muthinti; Florence Nelson; Abraham A. de la Peña; Fee li Le; Eric R. Miller; Stuart A. Sieg; J. Demarest; Peter Gin; Matthew Wormington; Aron Cepler; Cornel Bozdog; Matthew Sendelbach; Shay Wolfling; Tom Cardinal; Sivananda K. Kanakasabapathy; John G. Gaudiello; Nelson Felix

Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming geometry and must be used carefully. A successful implementation of SAQP process control for yield improvement requires the metrology issues to be addressed. By optimizing the measurement parameters and beam configurations, CDSEM measurements distinguish each of the spaces corresponding to the upstream mandrel processes and report their CDs separately to feed back to the process team for the next development cycle. We also utilize the unique capability in scatterometry to measure the structure details in-line and implement a “predictive” process control, which shows a good correlation between the “predictive” measurement and the cross-sections from our design of experiments (DOE). The ability to measure the pitch walk in scatterometry was also demonstrated. This work also explored the frontier of in-line XRD capability by enabling an automatic RSM fitting on tool to output pitch walk values. With these advances in metrology development, we are able to demonstrate the impacts of in-line monitoring in the SAQP process, to shorten the patterning development learning cycle to improve the yield.


Proceedings of SPIE | 2016

Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control

Anil Gunay-Demirkol; Efrain Altamirano Sanchez; Stephane Heraud; Stephane Godny; Anne-Laure Charley; Philippe Leray; Ronen Urenski; Oded Cohen; Igor Turovets; Shay Wolfling

In this work, capabilities of scatterometry at various steps of the self-aligned quadruple patterning (SAQP) process flow for 7nm (N7) technology node are demonstrated including the pitch walk measurement on the final fin etch step. The scatterometry solutions for each step are verified using reference metrology and the capability to follow the planned process design-of-experiment (DOE) and the sensitivity to catch the small process variations are demonstrated. Pitch walk, which is pitch variation in the four line/space (L/S) populations, is one of the main process challenges for SAQP. Scatterometry, which is a versatile optical technique for critical dimensions (CD) and shape metrology, can find the direct measurement of pitch walk challenging because it is a very weak parameter. In this work, the pitch walk measurement is managed via scatterometry using an advanced technique of parallel interpretation of scatterometry pads with varying pitches. The three populations of trenches could be clearly distinguished with the scatterometry and the consistency with the reference data and with the process DOE are presented. In addition, the root cause of the within-wafer non-uniformity of fin CD is determined. The measurements were done on-site at IMEC as a part of the process development and control of the IMEC SAQP processes [1]. All in all, in this work it is demonstrated that scatterometry is capable of monitoring each process step of FEOL SAQP and it can measure three different space populations separately and extract pitch walk information at the final fin etch step.


Proceedings of SPIE | 2014

Hybrid metrology universal engine: co-optimization

Alok Vaid; Carmen Osorio; Jamie Tsai; Cornel Bozdog; Matthew Sendelbach; Eyal Grubner; Roy Koret; Shay Wolfling

In recent years Hybrid Metrology has emerged as an option for enhancing the performance of existing measurement toolsets and is currently implemented in production1. Hybrid Metrology is the practice to combine measurements from multiple toolset types in order to enable or improve the measurement of one or more critical parameters. While all applications tried before were improved through standard (sequential) hybridization of data from one toolset to another, advances in device architecture, materials and processes made possible to find one case that demanded a much deeper understanding of the physical basis of measurements and simultaneous optimization of data. This paper presents the first such work using the concept of co-optimization based hybridization, where image analysis parameters of CD-SEM (critical dimensions Scanning Electron Microscope) are modulated by profile information from OCD (optical critical dimension – scatterometry) while the OCD extracted profile is concurrently optimized through addition of the CD-SEM CD results. Test vehicle utilized in this work is the 14nm technology node based FinFET High-k/Interfacial layer structure.


Proceedings of SPIE | 2017

Materials characterization for process integration of multi-channel gate all around (GAA) devices

Gangadhara Raja Muthinti; Nicolas Loubet; Robin Chao; Abraham A. de la Peña; Juntao Li; Michael A. Guillorn; Tenko Yamashita; Sivananda K. Kanakasabapathy; John G. Gaudiello; Aron Cepler; Matthew Sendelbach; Susan Emans; Shay Wolfling; Avron Ger; Daniel Kandel; Roy Koret; Wei Ti Lee; Peter Gin; Kevin Matney; Matthew Wormington

Multi-channel gate all around (GAA) semiconductor devices march closer to becoming a reality in production as their maturity in development continues. From this development, an understanding of what physical parameters affecting the device has emerged. The importance of material property characterization relative to that of other physical parameters has continued to increase for GAA architecture when compared to its relative importance in earlier architectures. Among these materials properties are the concentration of Ge in SiGe channels and the strain in these channels and related films. But because these properties can be altered by many different process steps, each one adding its own variation to these parameters, their characterization and control at multiple steps in the process flow is crucial. This paper investigates the characterization of strain and Ge concentration, and the relationships between these properties, in the PFET SiGe channel material at the earliest stages of processing for GAA devices. Grown on a bulk Si substrate, multiple pairs of thin SiGe/Si layers that eventually form the basis of the PFET channel are measured and characterized in this study. Multiple measurement techniques are used to measure the material properties. In-line X-Ray Photoelectron Spectroscopy (XPS) and Low Energy X-Ray Fluorescence (LE-XRF) are used to characterize Ge content, while in-line High Resolution X-Ray Diffraction (HRXRD) is used to characterize strain. Because both patterned and un-patterned structures were investigated, scatterometry (also called optical critical dimension, or OCD) is used to provide valuable geometrical metrology.


Proceedings of SPIE | 2016

Advanced in-line optical metrology of sub-10nm structures for gate all around devices (GAA)

Raja Muthinti; Nicolas Loubet; Robin Chao; John A. Ott; Michael A. Guillorn; Nelson Felix; John G. Gaudiello; Parker Lund; Aron Cepler; Matthew Sendelbach; Oded Cohen; Shay Wolfling; Cornel Bozdog; Mark Klare

Gate-all-around (GAA) nanowire (NW) devices have long been acknowledged as the ultimate device from an electrostatic scaling point of view. The GAA architecture offers improved short channel effect (SCE) immunity compared to single and double gate planar, FinFET, and trigate structures. One attractive proposal for making GAA devices involves the use of a multilayer fin-like structure consisting of layers of Si and SiGe. However, such structures pose various metrology challenges, both geometrical and material. Optical Scatterometry, also called optical critical dimension (OCD) is a fast, accurate and non-destructive in-line metrology technique well suited for GAA integration challenges. In this work, OCD is used as an enabler for the process development of nanowire devices, extending its abilities to learn new material and process aspects specific to this novel device integration. The specific metrology challenges from multiple key steps in the process flow are detailed, along with the corresponding OCD solutions and results. In addition, Low Energy X-Ray Fluorescence (LE-XRF) is applied to process steps before and after the removal of the SiGe layers in order to quantify the amount of Ge present at each step. These results are correlated to OCD measurements of the Ge content, demonstrating that both OCD and LE-XRF are sensitive to Ge content for these applications.


Proceedings of SPIE | 2015

Scatterometry-based defect detection for DSA in-line process control

Robin Chao; Chi-Chun Liu; Cornel Bozdog; Aron Cepler; Matthew Sendelbach; Oded Cohen; Shay Wolfling; Todd C. Bailey; Nelson Felix

Successful implementation of directed self-assembly in high volume manufacturing is contingent upon the ability to control the new DSA-specific local defects such as “dislocations” or “line-shifts” or “fingerprint-like” defects. Conventional defect inspection tools are either limited in resolution (brightfield optical methods) or in the area / number of defects to investigate / review (SEM). Here we explore in depth a scatterometry-based technique that can bridge the gap between area throughput and detection resolution. First we establish the detection methodology for scatterometry-based defect detection, then we compare to established methodology. Careful experiments using scatterometry imaging confirm the ultimate resolution for defect detection of scatterometry-based techniques as low as <1% defect per area sampled – similar to CD-SEM based detection, while retaining a 2 orders of magnitude higher area sampling rate.

Collaboration


Dive into the Shay Wolfling's collaboration.

Top Co-Authors

Avatar

Yoel Arieli

Jerusalem College of Technology

View shared research outputs
Top Co-Authors

Avatar

Emmanuel Lanzmann

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Yoram Saban

Ben-Gurion University of the Negev

View shared research outputs
Top Co-Authors

Avatar

Cornel Bozdog

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Nissim Ben-Yosef

Hebrew University of Jerusalem

View shared research outputs
Top Co-Authors

Avatar

Roy Koret

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

David Banitt

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge