Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Cornel Bozdog is active.

Publication


Featured researches published by Cornel Bozdog.


Proceedings of SPIE | 2012

Hybrid metrology solution for 1X node technology

Alok Vaid; Alexander Elia; Mark Kelling; John Allgair; Carsten Hartig; Peter Ebersbach; Erin Mclellan; Matthew Sendelbach; Nedal R. Saleh; Narender Rana; Hiroki Kawada; Toru Ikegami; Masahiko Ikeno; Takahiro Kawasaki; Cornel Bozdog; Helen Kim; Elad Arnon; Roy Koret; Igor Turovets

The accelerated pace of the semiconductor industry in recent years is putting a strain on existing dimensional metrology equipments (such as CDSEM, AFM, Scatterometry) to keep up with ever-increasing metrology challenges. However, a revolution appears to be forming with the recent advent of Hybrid Metrology (HM) - a practice of combining measurements from multiple equipment types in order to enable or improve measurement performance. In this paper we extend our previous work on HM to measure advanced 1X node layers - EUV and Negative Tone Develop (NTD) resist as well as 3D etch structures such as FinFETs. We study the issue of data quality and matching between toolsets involved in hybridization, and propose a unique optimization methodology to overcome these effects. We demonstrate measurement improvement for these advanced structures using HM by verifying the data with reference tools (AFM, XSEM, TEM). We also study enhanced OCD models for litho structures by modeling Line-edge roughness (LER) and validate its impact on profile accuracy. Finally, we investigate hybrid calibration of CDSEM to measure in-die resist line height by Pattern Top Roughness (PTR) methodology.


Proceedings of SPIE | 2013

Hybrid approach to optical CD metrology of directed self-assembly lithography

Stephane Godny; Masafumi Asano; Akiko Kawamoto; Koichi Wakamoto; Kazuto Matsuki; Cornel Bozdog; Matthew Sendelbach; Igor Turovets; Ronen Urenski; Renan Milo

Directed Self Assembly (DSA) for contact layers is a challenging process in need of reliable metrology for tight process control. Key parameters of interest are guide CD, polymer CD, and residual polymer thickness at the bottom of the guide cavity. We show that Optical CD (OCD) provides the needed performance for DSA contact metrology. The measurement, done with a multi-channel spectroscopic reflectometry (SR) system, is enhanced through elements of a Holistic Metrology approach such as Injection and Hybrid Metrology.


Journal of Micro-nanolithography Mems and Moems | 2014

Hybrid metrology: from the lab into the fab

Alok Vaid; Alexander Elia; Givantha Iddawela; Cornel Bozdog; Matthew J. Sendelbach; Byungcheol Kang; Paul Isbester; Shay Wolfling

Abstract. The accelerated pace of the semiconductor industry in recent years is putting a strain on existing dimensional metrology equipment (such as critical dimension-secondary electron microscopy, atomic force microscopy, scatterometry) to keep up with ever-increasing metrology challenges. However, a revolution appears to be forming with the recent advent of hybrid metrology (HM). We highlight some of the challenges and lessons learned when setting up a standard HM solution and describe the first-in-industry implementation of HM within a high-volume manufacturing environment.


Proceedings of SPIE | 2013

Implementation of hybrid metrology at HVM fab for 20nm and beyond

Alok Vaid; Lokesh Subramany; Givantha Iddawela; Carl Ford; John Allgair; Gaurav K. Agrawal; John Taylor; Carsten Hartig; Byung Cheol (Charles) Kang; Cornel Bozdog; Matthew Sendelbach; Paul Isbester; Limor Issascharoff

Metrology tools are increasingly challenged by the continuing decrease in the device dimensions, combined with complex disruptive materials and architectures. These demands are not being met appropriately by existing/forthcoming metrology techniques individually. Hybrid Metrology (HM) – the practice to combine measurements from multiple toolset types in order to enable or improve the measurement of one or more critical parameters – is being incorporated by the industry to resolve these challenges. Continuing our previous work we now take the HM from the lab into the fab. This paper presents the first-in-industry implementation of HM within a High Volume Manufacturing (HVM) environment. Advanced 3D applications are the first to use HM: 20nm Contact etch and 14nm FinFET poly etch. The concept and main components of this Phase-1 Host-based implementation are discussed. We show examples of communication protocols/standards that have been specially constructed for HM for sharing data between the metrology tools and fab host in GLOBALFOUNDRIES, as well as the HM recipe setup and HVM results. Finally we discuss our vision and phased progression/roadmap for Phase-2 HM implementation to fully reap the benefits of hybridization.


Proceedings of SPIE | 2009

Product-driven material characterization for improved scatterometry time-to-solution

Alok Vaid; Carsten Hartig; Matthew Sendelbach; Cornel Bozdog; Hyang Kyun Helen Kim; Michael Sendler; Yoel Cohen; Victor Kucherov; Boaz Brill; Stanislav Stepanov

This paper discusses a novel methodology of material characterization that directly utilizes the scatterometry targets on the product wafer to determine the optical properties (n&k) of various constituent materials. Characterization of optical constants, or dispersions, is one of the first steps of scatterometry metrology implementation. A significant benefit of this new technique is faster time-to-solution, since neither multiple single-film depositions nor multi-film depositions on blanket/product wafers are needed, making obsolete a previously required-but very time-consuming-step in the scatterometry setup. We present the basic elements of this revolutionary method, describe its functionality as currently implemented, and contrast/compare results obtained by traditional methods of materials characterization with the new method. The paper covers scatterometry results from key enabling metrology applications, like high-k metal gate (postetch and post-litho) and Metal 2 level post-etch, to explore the performance of this new material characterization approach. CDSEM was used to verify the accuracy of scatterometry solutions. Furthermore, Total Measurement Uncertainty (TMU) analysis assisted in the interpretation of correlation data, and shows that the new technique provides measurement accuracy results equivalent to, and sometimes better than, traditional extraction techniques.


Journal of Micro-nanolithography Mems and Moems | 2016

Measuring self-aligned quadruple patterning pitch walking with scatterometry-based metrology utilizing virtual reference

Taher Kagalwala; Alok Vaid; Sridhar Mahendrakar; Michael Lenahan; Fang Fang; Paul Isbester; Michael Shifrin; Yoav Etzioni; Aron Cepler; Naren Yellai; Prasad Dasari; Cornel Bozdog

Abstract. Advanced technology nodes, 10 nm and beyond, employing multipatterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. A self-aligned quadruple patterning (SAQP) process is used to create the fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bears the compounding effects from successive reactive ion etch and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes, which work on an assumption that there is consistent spacing between fins. In SAQP, there are three pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology, such as transmission electron microscopy. We will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.


Journal of Micro-nanolithography Mems and Moems | 2014

Hybrid metrology co-optimization of critical dimension scanning electron microscope and optical critical dimension

Alok Vaid; Carmen Osorio; Jamie Tsai; Cornel Bozdog; Matthew Sendelbach; Eyal Grubner; Roy Koret; Shay Wolfling

Abstract. Work using the concept of a co-optimization-based metrology hybridization is presented. Hybrid co-optimization involves the combination of data from two or more metrology tools such that the output of each tool is improved by the output of the other tool. Here, the image analysis parameters from a critical dimension scanning electron microscope (CD-SEM) are modulated by the profile information from optical critical dimension (OCD, or scatterometry), while the OCD-extracted profile is concurrently optimized through addition of the CD-SEM CD results. The test vehicle utilized is the 14-nm technology node-based FinFET high-k/interfacial layer (HK/IL) structure. When compared with the nonhybrid approach, the correlation to reference measurements of the HK layer thickness measurement using hybrid co-optimization resulted in an improvement in relative accuracy of about 40% and in R2 from 0.81 to 0.91. The measurement of the IL thickness also shows an improvement with hybrid co-optimization: better matching to the expected conditions as well as data that contain less noise.


Proceedings of SPIE | 2016

Advanced in-line metrology strategy for self-aligned quadruple patterning

Robin Chao; Mary Breton; Benoit L'herron; Brock Mendoza; Raja Muthinti; Florence Nelson; Abraham A. de la Peña; Fee li Le; Eric R. Miller; Stuart A. Sieg; J. Demarest; Peter Gin; Matthew Wormington; Aron Cepler; Cornel Bozdog; Matthew Sendelbach; Shay Wolfling; Tom Cardinal; Sivananda K. Kanakasabapathy; John G. Gaudiello; Nelson Felix

Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming geometry and must be used carefully. A successful implementation of SAQP process control for yield improvement requires the metrology issues to be addressed. By optimizing the measurement parameters and beam configurations, CDSEM measurements distinguish each of the spaces corresponding to the upstream mandrel processes and report their CDs separately to feed back to the process team for the next development cycle. We also utilize the unique capability in scatterometry to measure the structure details in-line and implement a “predictive” process control, which shows a good correlation between the “predictive” measurement and the cross-sections from our design of experiments (DOE). The ability to measure the pitch walk in scatterometry was also demonstrated. This work also explored the frontier of in-line XRD capability by enabling an automatic RSM fitting on tool to output pitch walk values. With these advances in metrology development, we are able to demonstrate the impacts of in-line monitoring in the SAQP process, to shorten the patterning development learning cycle to improve the yield.


Proceedings of SPIE | 2016

Hybrid enabled thin film metrology using XPS and optical

Alok Vaid; Givantha Iddawela; Sridhar Mahendrakar; Michael Lenahan; Mainul Hossain; Padraig Timoney; Abner Bello; Cornel Bozdog; Heath Pois; Wei Ti Lee; Mark Klare; Michael Kwan; Byung Cheol (Charles) Kang; Paul Isbester; Matthew Sendelbach; Naren Yellai; Prasad Dasari; Tom Larson

Complexity of process steps integration and material systems for next-generation technology nodes is reaching unprecedented levels, the appetite for higher sampling rates is on the rise, while the process window continues to shrink. Current thickness metrology specifications reach as low as 0.1A for total error budget – breathing new life into an old paradigm with lower visibility for past few metrology nodes: accuracy. Furthermore, for advance nodes there is growing demand to measure film thickness and composition on devices/product instead of surrogate planar simpler pads. Here we extend our earlier work in Hybrid Metrology to the combination of X-Ray based reference technologies (high performance) with optical high volume manufacturing (HVM) workhorse metrology (high throughput). Our stated goal is: put more “eyes” on the wafer (higher sampling) and enable move to films on pattern structure (control what matters). Examples of 1X front-end applications are used to setup and validate the benefits.


Proceedings of SPIE | 2014

Hybrid metrology universal engine: co-optimization

Alok Vaid; Carmen Osorio; Jamie Tsai; Cornel Bozdog; Matthew Sendelbach; Eyal Grubner; Roy Koret; Shay Wolfling

In recent years Hybrid Metrology has emerged as an option for enhancing the performance of existing measurement toolsets and is currently implemented in production1. Hybrid Metrology is the practice to combine measurements from multiple toolset types in order to enable or improve the measurement of one or more critical parameters. While all applications tried before were improved through standard (sequential) hybridization of data from one toolset to another, advances in device architecture, materials and processes made possible to find one case that demanded a much deeper understanding of the physical basis of measurements and simultaneous optimization of data. This paper presents the first such work using the concept of co-optimization based hybridization, where image analysis parameters of CD-SEM (critical dimensions Scanning Electron Microscope) are modulated by profile information from OCD (optical critical dimension – scatterometry) while the OCD extracted profile is concurrently optimized through addition of the CD-SEM CD results. Test vehicle utilized in this work is the 14nm technology node based FinFET High-k/Interfacial layer structure.

Collaboration


Dive into the Cornel Bozdog's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Shay Wolfling

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Paul Isbester

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Igor Turovets

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Michael Sendler

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Boaz Brill

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge