Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sheldon X.-D. Tan is active.

Publication


Featured researches published by Sheldon X.-D. Tan.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2003

Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings

Sheldon X.-D. Tan; C.-J.R. Shi; Jyh-Chwen Lee

This paper presents a new method of sizing the widths of the power and ground routes in integrated circuits so that the chip area required by the routes is minimized subject to electromigration and IR voltage drop constraints. The basic idea is to transform the underlying constrained nonlinear programming problem into a sequence of linear programs. Theoretically, we show (that the sequence of linear programs always converges to the optimum solution of the relaxed convex optimization problem. Experimental results demonstrate that the proposed sequence-of-linear-program method Is orders of magnitude faster than the best-known method based on conjugate gradients with constantly better solution qualities.


Archive | 2007

Advanced Model Order Reduction Techniques in VLSI Design

Sheldon X.-D. Tan; Lei He

List of figures List of tables Preface 1. Introduction 2. Projection-based model order reduction algorithms 3. Truncated balanced realization methods for model order reduction 4. Passive balanced truncation of linear systems in descriptor form 5. Passive hierarchical model order reduction 6. Terminal reduction of linear dynamic circuits 7. Vector potential equivalent circuit for inductance modeling 8. Structure-preserving model order reduction 9. Block structure-preserving reduction for RLCK circuits 10. Model optimization and passivity enforcement 11. General multi-port circuit realization 12. Model order reduction for multi-terminal linear dynamic circuits 13. Passive modeling by signal waveform shaping References Index.


IEEE Transactions on Circuits and Systems | 2011

Pathological Element-Based Active Device Models and Their Application to Symbolic Analysis

Carlos Sánchez-López; Francisco V. Fernández; Esteban Tlelo-Cuautle; Sheldon X.-D. Tan

This paper proposes new pathological element-based active device models which can be used in analysis tasks of linear(ized) analog circuits. Nullators and norators along with the voltage mirror-current mirror (VM-CM) pair (collectively known as pathological elements) are used to model the behavior of active devices in voltage-, current-, and mixed-mode, also considering parasitic elements. Since analog circuits are transformed to nullor-based equivalent circuits or VM-CM pairs or as a combination of both, standard nodal analysis can be used to formulate the admittance matrix. We present a formulation method in order to build the nodal admittance (NA) matrix of nullor-equivalent circuits, where the order of the matrix is given by the number of nodes minus the number of nullors. Since pathological elements are used to model the behavior of active devices, we introduce a more efficient formulation method in order to compute small-signal characteristics of pathological element-based equivalent circuits, where the order of the NA matrix is given by the number of nodes minus the number of pathological elements. Examples are discussed in order to illustrate the potential of the proposed pathological element-based active device models and the new formulation method in performing symbolic analysis of analog circuits. The improved formulation method is compared with traditional formulation methods, showing that the NA matrix is more compact and the generation of nonzero coefficients is reduced. As a consequence, the proposed formulation method is the most efficient one reported so far, since the CPU time and memory consumption is reduced when recursive determinant-expansion techniques are used to solve the NA matrix.


international symposium on quality electronic design | 2003

Advanced physical models for mask data verification and impacts on physical layout synthesis

Qi-De Qian; Sheldon X.-D. Tan

The proliferation and acceptance of reticle enhancement technologies (RET) like optical proximity correction (OPC) and phase shift masking (PSM) have significantly increased the cost and complexity of sub-100 nm photomasks. The photomask layout is no longer an exact replica of the design layout. As a result, reliably verifying RET synthesis accuracy, structural integrity, and conformance to mask fabrication rules are crucial for the manufacture of nanometer regime VLSI designs. In this paper, we demonstrate a physical model based mask layout verification system. The new system consists of an efficient wafer-patterning simulator that is able to solve the process physical equations for optical imaging and resist development and hence can achieve high degree accuracy required by mask verification tasks. It is able to efficiently evaluate mask performance by simulating edge displacement errors between wafer image and the intended layout. We show the capabilities for hot spot detection, line width variation analysis, and process window prediction capabilities with a sample practical layout. We also discuss the potential of the new physical model simulator for improving circuit performance in physical layout synthesis.


design automation conference | 2004

Dynamic FPGA routing for just-in-time FPGA compilation

R. Lyseckya; Frank Vahid; Sheldon X.-D. Tan

Just-in-time (JIT) compilation has previously been used in many applications to enable standard software binaries to execute on different underlying processor architectures. However, embedded systems increasingly incorporate Field Programmable Gate Arrays (FPGAs), for which the concept of a standard hardware binary did not previously exist, requiring designers to implement a hardware circuit for a single specific FPGA. We introduce the concept of a standard hardware binary, using a just-in-time compiler to compile the hardware binary to an FPGA. A JIT compiler for FPGAs requires the development of lean versions of technology mapping, placement, and routing algorithms, of which routing is the most computationally and memory expensive step. We present the Riverside On-Chip Router (ROCR) designed to efficiently route a hardware circuit for a simple configurable logic fabric that we have developed. Through experiments with MCNC benchmark hardware circuits, we show that ROCR works well for JIT FPGA compilation, producing good hardware circuits using an order of magnitude less memory resources and execution time compared with the well known Versatile Place and Route (VPR) tool suite. ROCR produces good hardware circuits using 13X less memory and executing 10X faster than VPRs fastest routing algorithm. Furthermore, our results show ROCR requires only 10% additional routing resources, and results in circuit speeds only 32% slower than VPRs timing-driven router, and speeds that are actually 10% faster than VPRs routability-driven router.


design automation conference | 2006

A systematic method for functional unit power estimation in microprocessors

Wei Wu; Lingling Jin; Jun Yang; Pu Liu; Sheldon X.-D. Tan

We present a new method for mathematically estimating the active unit power of functional units in modern microprocessors such as the Pentium 4 family. Our method leverages the phasic behavior in power consumption of programs, and captures as many power phases as possible to form a linear system of equations such that the functional unit power can be solved. Our experiment results on a real Pentium 4 processor show that power estimations attained as such agree with the measured power very well, with deviations less than 5% only


design automation conference | 2005

Partitioning-based approach to fast on-chip decap budgeting and minimization

Hang Li; Zhenyu Qi; Sheldon X.-D. Tan; Lifeng Wu; Yici Cai; Xianlong Hong

This paper proposes a fast decoupling capacitance (decap) allocation and budgeting algorithm for both early stage decap estimation and later stage decap minimization in todays VLSI physical design. The new method is based on a sensitivity-based conjugate gradient (CG) approach. But it adopts several new techniques, which significantly improve the efficiency of the optimization process. First, the new approach applies the time-domain merged adjoint network method for fast sensitivity calculation. Second, an efficient search step scheme is proposed to replace the time-consuming line search phase in conventional conjugate gradient method for decap budget optimization. Third, instead of optimizing an entire large circuit, we partition the circuit into a number of smaller sub-circuits and optimize them separately by exploiting the locality of adding decaps. Experimental results show that the proposed algorithm achieves at least 10X speed-up over the fastest decap allocation method reported so far with similar or even better budget quality and a power grid circuit with about one million nodes can be optimized using the new method in half an hour on the latest Linux workstations.


design automation conference | 2014

Physics-based Electromigration Assessment for Power Grid Networks

Xin Huang; Tan Yu; Valeriy Sukharev; Sheldon X.-D. Tan

This paper presents a novel approach and techniques for physics-based electromigration (EM) assessment in power delivery networks of VLSI systems. An increase in the voltage drop above the threshold level, caused by EM-induced increase in resistances of the individual interconnect segments, is considered as a failure criterion. It replaces a currently employed conservative weakest segment criterion, which does not account an essential redundancy for current propagation existing in the power-ground (p/g) networks. EM-induced increase in the resistance of the individual grid segments is described in the approximation of the recently developed physics-based formalism for void nucleation and growth. A statistical approach to calculation of the void nucleation times in the group of branches comprising the interconnect tree is implemented. As a result, p/g networks become time-varying linear networks. A developed technique for calculating the hydrostatic stress evolution inside a multi-branch interconnect tree allows to avoid over optimistic prediction of the time-to-failure (TTF) made with the Blech-Black analysis of individual branches of interconnect tree. Experimental results obtained on a number of IBM benchmark circuits validate the proposed methodology.


design automation conference | 2009

GPU friendly fast Poisson solver for structured power grid network analysis

Jin Shi; Yici Cai; Wenting Hou; Liwei Ma; Sheldon X.-D. Tan; Pei-Hsin Ho; Xiaoyi Wang

In this paper, we propose a novel simulation algorithm for large scale structured power grid networks. The new method formulates the traditional linear system as a special two-dimension Poisson equation and solves it using an analytical expressions based on FFT technique. The computation complexity of the new algorithm is O(NlgN), which is much smaller than the traditional solvers complexity O(N1.5) for sparse matrices, such as the SuperLU solver and the PCG solver. Also, due to the special formulation, graphic process unit (GPU) can be explored to further speed up the algorithm. Experimental results show that the new algorithm is stable and can achieve 100X speed up on GPU over the widely used SuperLU solver with very little memory footprint.


international conference on computer aided design | 2005

Fast thermal simulation for architecture level dynamic thermal management

Pu Liu; Zhenyu Qi; Hang Li; Lingling Jin; Wei Wu; Sheldon X.-D. Tan; Jun Yang

As power density increases exponentially, runtime regulation of operating temperature by dynamic thermal managements becomes necessary. This paper proposes a novel approach to the thermal analysis at chip architecture level for efficient dynamic thermal management. Our new approach is based on the observation that the power consumption of architecture level modules in microprocessors running typical workloads presents strong nature of periodicity. Such a feature can be exploited by fast spectrum analysis in frequency domain for computing steady state response. To obtain the transient temperature changes due to initial condition and constant power inputs, numerically stable moment matching approach is carried out. The total transient responses is the addition of the two simulation results. The resulting fast thermal analysis algorithm leads to at least 10/spl times/-100/spl times/ speedup over traditional integration-based transient analysis with small accuracy loss.

Collaboration


Dive into the Sheldon X.-D. Tan's collaboration.

Top Co-Authors

Avatar

Hai Wang

University of Electronic Science and Technology of China

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hao Yu

Nanyang Technological University

View shared research outputs
Top Co-Authors

Avatar

Ruijing Shen

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hai-Bao Chen

Shanghai Jiao Tong University

View shared research outputs
Top Co-Authors

Avatar

Guoyong Shi

Shanghai Jiao Tong University

View shared research outputs
Top Co-Authors

Avatar

Xin Huang

University of California

View shared research outputs
Top Co-Authors

Avatar

Taeyoung Kim

University of California

View shared research outputs
Researchain Logo
Decentralizing Knowledge