Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Stefan Degroote is active.

Publication


Featured researches published by Stefan Degroote.


Journal of Applied Physics | 2005

Improvement of AlGaN∕GaN high electron mobility transistor structures by in situ deposition of a Si3N4 surface layer

Joff Derluyn; Steven Boeykens; K. Cheng; Raf Vandersmissen; J. Das; Wouter Ruythooren; Stefan Degroote; Maarten Leys; Marianne Germain; Gustaaf Borghs

We have made AlGaN∕GaN high electron mobility transistors with a Si3N4 passivation layer that was deposited in situ in our metal-organic chemical-vapor deposition reactor in the same growth sequence as the rest of the layer stack. The Si3N4 is shown to be of high quality and stoichiometric in composition. It reduces the relaxation, cracking, and surface roughness of the AlGaN layer. It also neutralizes the charges at the top AlGaN interface, which leads to a higher two-dimensional electron-gas density. Moreover, it protects the surface during processing and improves the Ohmic source and drain contacts. This leads to devices with greatly improved characteristics.


Applied Physics Letters | 2008

Ohmic contact formation on n-type Ge

Ruben Lieten; Stefan Degroote; Maarten Kuijk; Gustaaf Borghs

Severe Fermi level pinning at the interface between n-Ge and a metal leads to the formation of a Schottky barrier, almost independent on the metal work function. Therefore, it seems impossible to form metal Ohmic contacts on moderately, n-type doped Ge layers. For p-type Ge, the Fermi level pinning works opposite: all metal contacts show Ohmic behavior. This fixed behavior can be altered by the introduction of a thin Ge3N4 layer. Ge3N4 seems effective in reducing Fermi level pinning and, therefore, allows the formation of Ohmic contacts on n-type Ge and a rectifying contact on p-type Ge.


IEEE Electron Device Letters | 2010

Low On-Resistance High-Breakdown Normally Off AlN/GaN/AlGaN DHFET on Si Substrate

Farid Medjdoub; Joff Derluyn; K. Cheng; Maarten Leys; Stefan Degroote; Denis Marcon; Domenica Visalli; M. Van Hove; Marianne Germain; Gustaaf Borghs

Ultrathin-barrier normally off AlN/GaN/AlGaN double-heterostructure field-effect transistors using an in situ SiN cap layer have been fabricated on 100-mm Si substrates for the first time. The high 2DEG density in combination with an extremely thin barrier layer leads to enhancement-mode devices with state-of-the-art combination of specific on-resistance that is as low as 1.25 m¿·cm2 and breakdown voltage of 580 V at V GS = 0 V . Despite the 2-¿m gate length used, the transconductance peaks above 300 mS/mm. Furthermore, pulsed measurements show that the devices are dispersion free up to high drain voltage V DS = 50 V. More than 200 devices have been characterized in order to confirm the reproducibility of the results.


Applied Physics Letters | 2008

High quality GaN grown on silicon(111) using a SixNy interlayer by metal-organic vapor phase epitaxy

Kai Cheng; Maarten Leys; Stefan Degroote; Marianne Germain; Gustaaf Borghs

High quality GaN layers with dislocation density of (3.0±0.5)×108∕cm2 have been grown on silicon(111) substrates using a combination of AlGaN intermediate layers and a SixNy interlayer. A smooth and fully coalesced layer was obtained by virtue of a high temperature growth process which accelerates coalescence and improves at the same time the crystalline quality. This was confirmed by high resolution x-ray diffraction showing a full width of half maximum of 415arcsec for the asymmetric (−2201) rocking curve.


IEEE Electron Device Letters | 2010

Silicon Substrate Removal of GaN DHFETs for Enhanced (<1100 V) Breakdown Voltage

Puneet Srivastava; Jo Das; Domenica Visalli; Joff Derluyn; Marleen Van Hove; Pawel E. Malinowski; Denis Marcon; Karen Geens; Kai Cheng; Stefan Degroote; Maarten Leys; Marianne Germain; Stefaan Decoutere; Robert Mertens; Gustaaf Borghs

In this letter, we present a novel approach to enhance the breakdown voltage (<i>V</i><sub>BD</sub>) for AlGaN/GaN/AlGaN double-heterostructure FETs (DHFETs), grown by metal-organic chemical vapor deposition on Si (111) substrates through a silicon-substrate-removal and a layer-transfer process. Before removing the Si substrate, both buffer isolation test structures and DHFET devices showed a saturation of <i>V</i><sub>BD</sub> due to the electrical breakdown through the Si substrate. We observed a <i>V</i><sub>BD</sub> saturation of 500 V for isolation gaps larger than 6 μm . After Si removal, we measured a <i>V</i><sub>BD</sub> enhancement of the AlGaN buffer to 1100 V for buffer isolation structures with an isolation gap of 12 μm. The DHFET devices with a gate-drain (<i>L</i><sub>GD</sub>) distance of 15 μm have a V<sub>BD</sub> > 1100 V compared with ~300 V for devices with Si substrate. Moreover, from Hall measurements, we conclude that the substrate-removal and layer-transfer processes have no impact on the 2-D electron gas channel properties.


Japanese Journal of Applied Physics | 2008

AlGaN/GaN/AlGaN Double Heterostructures on Silicon Substrates for High Breakdown Voltage Field-Effect Transistors with low On-Resistance

Domenica Visalli; Marleen Van Hove; Joff Derluyn; Stefan Degroote; Maarten Leys; K. Cheng; Marianne Germain; Gustaaf Borghs

AlGaN/GaN/AlGaN double heterostructure field-effect transistors (DHFET) with high breakdown voltage and low on-resistance were fabricated on silicon substrates. A linear dependency of the breakdown voltage on the buffer thickness and on the buffer Aluminium concentration was found. A breakdown voltage as high as 830 V and an on-resistance as low as 6.2 Ωmm were obtained in devices processed on 3.7 µm buffer thickness. The gate–drain spacing was 8 µm and the devices did not have any field plates.


Applied Physics Letters | 1999

Concentration-controlled phase selection of silicide formation during reactive deposition

André Vantomme; Stefan Degroote; J Dekoster; Guido Langouche; R Pretorius

Slow (low-rate) reactive deposition of a metal onto a Si substrate can result in direct formation of a metal disilicide, thereby skipping the metal-rich phases in the formation sequence. These observations have been explained thermodynamically by using the effective heat of formation model. As a result of this concentration-controlled phase selection, it is possible to form disilicides, such as CoSi2, NiSi2, or β-FeSi2 at much lower growth temperatures than possible in conventional solid-phase reaction of a metal layer deposited onto Si at room temperature (i.e., lower than the nucleation temperature). Moreover, epitaxial growth of CoSi2/Si(100), which is not possible by solid-phase reaction, becomes achievable when depositing Co atoms sufficiently slowly onto a heated Si substrate.


Japanese Journal of Applied Physics | 2008

AlGaN/GaN High Electron Mobility Transistors Grown on 150 mm Si(111) Substrates with High Uniformity

Kai Cheng; Maarten Leys; Stefan Degroote; Joff Derluyn; Brian Sijmus; Paola Favia; Olivier Richard; Hugo Bender; Marianne Germain; Gustaaf Borghs

AlGaN/GaN high electron mobility transistors (HEMTs) grown on 150 mm Si(111) substrates are reported in this work. The sheet resistance of the AlGaN/GaN HEMT structure is as low as 260 ±3.4 Ω/. The electron mobility is in the range of 1560–1650 cm2 V-1 s-1. The crack-free mirror-like wafers were obtained by using a simple AlGaN/AlN buffer. The mechanism for dislocation reduction in GaN above the AlGaN/AlN buffer is presented in this work. The dislocation density is around (1.5–2.5)×109/cm2. Some of the wafers were processed and a current density close to 1 A/mm was achieved. The maximum transconductance was 270 mS/mm and the on-state resistance was as low as 2.6 Ω mm.


Journal of Applied Physics | 2006

Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

Guy Brammertz; Yves Mols; Stefan Degroote; Vasyl Motsnyi; Maarten Leys; Gustaaf Borghs; Matty Caymax

Thin epitaxial GaAs films, with thickness varying from 140to1000nm and different Si doping levels, were grown at 650°C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ∼1.5eV and a broad inner-band-gap (IB) structure at an energy of ∼1.1eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1meV are measured. GaAs films with thickness smaller than 200nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018at.∕cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists ...


Applied Physics Letters | 2010

Experimental and simulation study of breakdown voltage enhancement of AlGaN/GaN heterostructures by Si substrate removal

Domenica Visalli; Marleen Van Hove; Puneet Srivastava; Joff Derluyn; Johan Das; Maarten Leys; Stefan Degroote; Kai Cheng; Marianne Germain; Gustaaf Borghs

The breakdown mechanism in GaN-based heterostructures (HFETs) grown on silicon substrate is investigated in detail by TCAD simulations and silicon substrate removal technique. High-voltage electrical measurements show that the breakdown voltage saturates for larger gate-drain distances. This failure mechanism is dominated by the avalanche breakdown in the Si substrate. High-voltage TCAD simulations of AlGaN/GaN/Si substrate structures show higher impact ionization factor and electron density at the Si interface indicating a leakage current path where avalanche breakdown occurs. Experimentally, by etching off the Si substrate the breakdown voltage no longer saturates and linearly increases for all gate-drain gaps. We propose the silicon removal technique as a viable way to enhance the breakdown voltage of AlGaN/GaN devices grown on Si substrate.

Collaboration


Dive into the Stefan Degroote's collaboration.

Top Co-Authors

Avatar

Gustaaf Borghs

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Marianne Germain

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Joff Derluyn

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Kai Cheng

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Guido Langouche

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

André Vantomme

Catholic University of Leuven

View shared research outputs
Top Co-Authors

Avatar

J Dekoster

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Domenica Visalli

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Ruben Lieten

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge