Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Steve Hansen is active.

Publication


Featured researches published by Steve Hansen.


Proceedings of SPIE | 2008

EUV simulation extension study for mask shadowing effect and its correction

Hoyoung Kang; Steve Hansen; Jan van Schoot; Koen van Ingen Schenau

It is well known from 193nm simulation studies that accounting for the electromagnetic (EM) interaction between the incident light and the mask become more important as the mask geometry shrinks. In particular this transition occurs when the size of the mask pattern becomes comparable to the wavelength of light. Early simulation work in EUV lithography indicated rigorous EM calculations are required to predict the subtle effects associated with the mask absorber shadowing effect. These calculations generally show that non-normal mask incidence creates several problems, including HV-bias, slit position dependent bias, and slit position dependent pattern shift. These results are surprising because the mask sizes studied are much larger than the 13.5nm wavelength. If approximate methods could be used rather than the rigorous EM calculations then EUV simulations would be much faster and more accessible. In this study, rigorous EM simulation results are compared with a Kirchoff approximation. The results show that Kirchoff simulations can mimic the shadowing effect with a simple mask bias. It is also found that the pattern shift effect is an artifact caused by a misinterpretation of the rigorous simulation results. With proper biasing depending on the pattern orientation and field position, simple Kirchoff simulation can be used. Thus Any MBOPC tool currently available can handle EUV proximity correction with minor modifications.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Enabling the 45nm node by hyper-NA polarized lithography

Wim de Boeij; Geert Swinkels; Nicolas le Masson; Armand Eugene Albert Koolen; Henk van Greevenbroek; Michel Fransois Hubert Klaassen; Mark van de Kerkhof; Koen van Ingen Schenau; Laurens de Winter; Martijn Gerard Dominique Wehrens; Steve Hansen; Christian Wagner

The introduction of immersion step and scan systems has opened the road for hyper-NA lenses (NA > 1). At these NAs polarization control becomes a key parameter in imaging. Application of polarized illumination leads to an increase of contrast and exposure latitude. The resulting resolution enhancement offered by polarized illumination enables 45nm node lithography with an ArF, NA=1.2 system. Hyper-NA systems utilizing polarized illumination must be fully compatible with all requirements for a volume production tool: maintaining imaging performance at full throughput, overlay and focus control; flexibility and ease-of-use are essential features. Adequate polarization control is realized by employing polarization-preserving optics, and by automated in-line metrology to optimize the system for any selected polarization state. In this paper we address the improvements of polarization for the 65nm and 45nm imaging node applications. Experimental results describing the imaging effects while using polarized illumination on high-NA (NA=0.93) and hyper-NA (NA=1.2) exposure tools will be shown. These data will also be compared to simulations. In addition, this paper includes a short section that deals with the issues of reticle birefringence. Finally, system control and in-line metrology under high-volume production conditions will be discussed.


Proceedings of SPIE | 2015

EUV resolution enhancement techniques (RETs) for k1 0.4 and below

Rafael Howell; Jianjun Jia; Hua-Yu Liu; Keith Gronlund; Steve Hansen; Jörg Zimmermann

Due to the exponential growth of mobile wireless devices, low-power logic chips continue to drive device scaling. To enable sub-10 nm device scaling at an affordable cost, there is a strong need for single exposure advanced lithography. Extreme ultraviolet lithography (EUVL) is one of the most promising candidates to support the design rules for sub-10 nm. The aggressive mobile device design rules continue to push the critical dimension (CD) and pitch and put very stringent demands on the lithography performance such as pattern placement control, image contrast, critical dimension uniformity (CDU), and line width roughness (LWR). In this paper we report the latest advances in resolution enhancement techniques to address low k1 challenges in EUV lithography, specifically: minimizing the pattern placement error, enhancing the through-focus contrast, and reducing the impact of stochastic effects. We have developed an innovative source-mask optimization (SMO) method to significantly reduce edge placement errors (EPE) [1] [2]. Aggressive design rules using the state-of-the-art NA of 0.33 of the NXE:3300B and its successor tools can have imaging below k1 = 0.4, which can extend the current process capabilities for single exposure high volume manufacturing (HVM). Burkhardt et al. reported in a previous study that inserting a sub-resolution assist feature (SRAF) within semi-isolated features introduces strong Bossung tilts and best focus shifts, and a general solution for random pitches is not apparent [3]. Kang observed the same issues and proposed to introduce spherical aberrations to correct these effects while having a global impact on the full-chip [4]. In this work we introduce a new methodology to apply SRAFs to improve contrast, reduce best focus shift, and improve process window. Finally, the lower number of photons of EUV and the small feature size brings serious issue of the stochastic effect that causes the line-edge-roughness (LER) and local CD uniformity (LCDU). Source power, photoresist, mask bias, and feature size all impact the stochastic effects that can result in large LER for low-k1 patterning. We incorporate an empirical LER model in the SMO NXE frame work to study how the pupil, mask, dose, and target CD can be optimized to reduce stochastic edge placement errors (SEPE). We believe that these advanced EUV RET techniques can support imaging k1 below 0.4 and extend single exposure for an NA of 0.33, as is used in the NXE:3300B and its successor tools.


Optical Microlithography XVII | 2004

Predictive modeling of advanced illumination pupils used as imaging enhancement for low-k1 applications

Tilmann Heil; Paul Gräupner; Reiner Garreis; Rafael Egger; Markus Brotsack; Jo Finders; Steve Hansen

The specific properties of the illumination system are of increasing importance for the realization of low-k1 applications in modern lithography. In this paper, we present numerical investigations of optical imaging performance using real illuminator pupils in contrast to conventional simulations based on an idealized tophat pupil assumption. We study the impact of non-idealized radial and azimuthal intensity distributions as well as the consequence of local in-homogeneities in the pupil. Furthermore, we discuss the effect of scanning, and details of the numerical implementation. We quantify the imaging impact of the different illumination pupils by computing the through pitch, and through focus behavior of several low-k1 applications. We demonstrate that the tophat assumption often does not provide sufficiently accurate results. In particular, for annular and multi-pole settings, the real radial, and azimuthal intensity distribution have to be taken in to account. Accordingly, we introduce a simple heuristic model describing the real illumination pupil. Using this smooth pupil model, we demonstrate a significantly improved imaging performance prediction accuracy. Local pupil inhomogeneities have a minor impact. For coherent, and conventional settings, finally, we find that a modified tophat assumption gives already sufficiently accurate results, and can be applied for predictive simulations.


Optical Microlithography XVII | 2004

Illumination source mapping and optimization with resist based process metrics for low k1 imaging

Guohong Zhang; Steve Hansen

Pattern specific illuminator optimization is a key component in developing low k1 lithography solutions that utilize off-axis illumination schemes. Aerial image metrics such as NILS (normalized image log slope) have been used in the past to select the optimal illuminator source shape that yields the largest process margin such as DOF. A more practical and process orientated approach is presented in this paper with resist also included in the optimization scheme. Here pupil fill calculation is based on the actual process metrics such as DOF at certain exposure latitude, mask error enhancement factor (MEEF), mask bias (OPC), and CD uniformity (ACLV). A comparison is made with the conventional aerial image based approach. Examples are given to illustrate the advantages of the resist simulation based optimization scheme and its potential application in global process optimization by using a common, universal set of process metrics. This makes it possible to search for the optimal scanner optics settings through simulation techniques over a parameter space with many degrees of freedom, which is difficult to explore simply with limited empirical data collection. As a result, resist based illumination source optimization dramatically reduces the process development cycle, particularly for low k1 critical patterns.


Optical Microlithography XVII | 2004

Optical lithography in the sub-50-nm regime

Donis G. Flagello; Bill Arnold; Steve Hansen; Mircea Dusa; Robert John Socha; Jan Mulkens; Reiner Garreis

The use of immersion technology will extend the lifetime of 193nm and 157nm lithography by enabling numerical apertures (NA) much greater than 1.0. A definition of effective k1 is derived to assist in comparison of various technologies with differing optical characteristics. The ultimate limits of NA are explored by analysis of polarization effects at the reticle and imaging effects at the wafer. The effect of Hertzian or micro-polarization due to the size of the reticle structures is examined through rigorous simulation. For the regime of interest, 20nm to 50nm imaging, it is found that dense features on the reticle will polarize the light into the TE component upwards of 15%. Below this regime, the light becomes polarized in the TM direction. Additionally, oblique incidence on the reticle, resulting from large system NAs and 4x reduction, will cause PSM phase errors. The use of polarization in the illuminator for imaging will result in substantial gains in exposure latitude and MEF when the NA~1.3 with 45nm lines at 193nm. The end-of-line pullback for 2-dimensional patterns is reduced by the use of TE polarization in the illuminator. The overall polarization effects increase with decreasing k1. The lower limit of optical lithography can be extended by using source-mask optimization and double exposure to go below the classical resolution limit, i.e., k1<0.25.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Accurate models for EUV lithography

Eric Hendrickx; Gian F. Lorusso; Jiong Jiang; Luoqi Chen; Wei Liu; Eelco van Setten; Steve Hansen

Accurate modeling of EUV Lithography is a mandatory step in driving the technology towards its foreseen insertion point for 22-16nm node patterning. The models are needed to correct EUV designs for imaging effects, and to understand and improve the CD fingerprint of the exposure tools. With a full-field EUV ADT from ASML now available in the IMEC cleanroom, wafer data can be collected to calibrate accurate models and check if the existing modeling infrastructure can be extended to EUV lithography. As a first topic, we have measured the CD on wafer of a typical OPC dataset at different flare levels and modeled the evolution of wafer CD through flare, reticle CD, and pitch using Brions Tachyon OPC engine. The modeling first requires the generation of a flare map using long-range kernels to model the EUV specific long-range flare. The accuracy of the flare map can be established independently from the CD measurements, by using the traditional disappearing pad test for flare determination (Kirk test). The flare map is then used as background intensity in the calibration of the traditional optical models with short-range kernels. For a structure set of 600 features and over a flare range of 4-6%, an rms fit value of 0.9nm was obtained. As a second aspect of the modeling, we have calibrated a full resist model to process window data. The full resist model is then used in a combination with experimental measurements of reticle CD, slit intensity uniformity, focal plane behavior, and EUV thick mask effects to model the evolution of wafer CD across the exposure field. The modeled evolution of CD across the exposure field was found to be a good match to the experimentally seen evolution of CD across the field, and confirms that the 4 factors mentioned above are main contributions to the CD uniformity across the field. As such the modeling work enables a better understanding of the errors contributing to CD variation across the field for EUV technology.


Proceedings of SPIE | 2009

Imaging solutions for the 22nm node using 1.35NA

Andre Engelen; Igor Bouchoms; Steve Hansen; Anita Bouma; Anthony Ngai; Marieke van Veen; Jörg Zimmermann

The practical limit of NA using water as immersion liquid has been reached. As a consequence, the k1 in production for the coming technology nodes will decrease rapidly, even below k1=0.25.This means that new imaging solutions are required. Double patterning and spacer techniques in combination with design for manufacturing are developed to support the 22nm node. However, from an imaging point of view the main challenge is to extend and improve single exposures at k1 of 0.26 to 0.31. In this paper we will present ingredients to support single exposure (as a part of a double patterning solution). The following ingredients to extend single exposure are presented in this paper: 1) Extreme Dipole illumination (pole width = 20° and ring width = 0.08σ) to demonstrate tight CD control of 1.5nm across the wafer for a flash gate layer with a half pitch of 38nm. 2) The benefits of complex freeform illumination pupils for process window, pattern fidelity and MEEF using a DRAM active area pattern, and 3) the advantage of TE polarization for rotated structures while maintaining intensity in preferred polarization state.


Journal of Micro-nanolithography Mems and Moems | 2009

Imaging budgets for extreme ultraviolet optics: ready for 22-nm node and beyond

Marc Bienert; Aksel Göhnemeier; Oliver Natt; Martin Lowisch; Paul Gräupner; Tilmann Heil; Reiner Garreis; Koen van Ingen Schenau; Steve Hansen

We derive an imaging budget from the performance of extreme ultraviolet (EUV) optics with NA = 0.32, and demonstrate that the requirements for 22-nm applications are met. Based on aerial image simulations, we analyze the impact of all relevant contributors, ranging from conventional quantities like straylight or aberrations, to EUV-specific topics, namely the influence of 3-D mask effects and faceted illumination pupils. As test structures we consider dense to isolated lines, contact holes, and 2-D elbows. We classify the contributions in a hierarchical order according to their weight in the critical dimension uniformity (CDU) budget and identify the main drivers. The underlying physical mechanisms causing different contributions to be critical or less significant are clarified. Finally, we give an outlook for the 16- and 11-nm nodes. Future developments in optics manufacturing will keep the budgets controlled, thereby paving the way to enable printing of these upcoming nodes.


Proceedings of SPIE | 2008

Photoresist induced Contrast Loss and its Impact on EUV Imaging Extendibility

Koen van Ingen Schenau; Steve Hansen; Bill Pierson; Jan van Schoot

In order to meet the CDU specifications for the 22, 16 and 11nm technology nodes, EUV systems can be designed that provide sufficiently high aerial image contrast. This can be done by higher NA designs and/or by applying off-axis illumination. The contrast loss from the exposure system can be minimized to less than 10-20% by controlling the lens aberrations, flare and vibrations. However, EUV resist model calibration studies revealed that resist induced contrast loss exceeds 50% thus limiting resolution capability. Experiments were performed to assess state-of-the-art photoresist that showed significant improvements in EUV photoresist contrast while improving sensitivity. Finally, a method to experimentally quantify resist contrast loss was proposed.

Collaboration


Dive into the Steve Hansen's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge