Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sung-Woon Choi is active.

Publication


Featured researches published by Sung-Woon Choi.


Journal of Vacuum Science & Technology B | 2002

Simulation of critical dimension error using Monte Carlo method and its experimental verification

Sonny Y. Zinn; Sung-Woo Lee; Sung-Woon Choi; Jung-Min Sohn

In order to assess the effects of process parameter variations, we developed an optical lithography simulation program that handles the parameter variations statistically by the Monte Carlo (MC) method and predicts the mean and standard deviation of critical dimensions (CDs). As an application of the simulation program, we analyzed the error sources that contribute to the in-shot wafer CD uniformity. For experiments, we employed an attenuated phase-shift mask that is actually used for manufacturing a memory device. The CD uniformity of the mask was 4 nm on wafer scale. We performed a MC simulation using mask uniformity of 4 nm, illumination uniformity of 3%±1% (where the error stands for three times the standard deviation), defocus of 0.0±0.2 μm, mask transmittance error of ±0.3%, and mask phase error of ±5°. Simulation gave wafer CD uniformities of 19.2 and 13.3 nm for 0.7 and 0.8 NA, respectively. These predictions agree reasonably well with experimental values 21.7 and 11.4 nm. The error sources were a...


Japanese Journal of Applied Physics | 2002

Flare in Microlithographic Exposure Tools

Tae Moon Jeong; Sung-Woon Choi; Jong Rak Park; Won-Tai Ki; Jung-Min Sohn; Sung-Woo Lee; Hyun-Jae Kang; Sang-Gyun Woo; Woo-Sung Han

To achieve the high level in photolithographic technology that is needed for current microelectronic devices, it is strongly required to consider emerging key parameters that were not critical drawbacks in previous photolithographic techniques. Flare existing in optical elements is one example of such emerging key parameters. In this paper, undesirable linewidth variation due to flare and a measurement method of flare are described. Various phenomena related to linewidth variation due to flare are experimentally observed and theoretically analyzed. Finally, the photomask linewidth correction is introduced to compensate this undesirable linewidth variation due to flare.


Optical Microlithography XVI | 2003

Improvement of shot uniformity on a wafer by controlling backside transmittance distribution of a photomask

Jong Rak Park; Soon Ho Kim; Gi-Sung Yeo; Sung-Woon Choi; Won-Tai Ki; Hee-Sun Yoon; Jung-Min Sohn

CD (critical dimension) uniformity on a wafer is affected by several factors such as resist coating, bake, development, etch processes, scanner performance, and photomask CD uniformity. Especially, shot uniformity or in-field CD uniformity is strongly dependent on scanner and photomask. CD error of a photomask and imaging error of a scanner lead to nonuniformity of in-field linewidth distribution. In this paper we propose and demonstrate a shot uniformity improvement method. The shot uniformity improvement method described in this paper utilizes the original shot uniformity map and dose latitude to determine the distribution of illumination intensity drop suitable for correcting CD error on the wafer. The distribution of illumination intensity drop is realized by controlling pattern density of contact hole pattern with 180° phase on the backside of the photomask. We applied this technique to several masks and it was found that global CD uniformity could be excellently improved by the method.


Journal of Vacuum Science & Technology B | 2003

Mask process proximity correction for next-generation mask fabrication

Soon Ho Kim; Sung-Woon Choi; Jung-Min Sohn; Jong Rak Park

As the requirements for minimum resolution on masks continue to tighten, performing proximity correction for mask making will be even more necessary. Mask process correction MPC with a two-Gauss kernel can be used in order to analyze and correct mask process proximity effects. From measured critical dimension (CD) linearity and two-Gauss model, the process kernel parameters α (range of spot size), β (range of dry-etching and development process) and η′ (contribution ratio of β over α) were extracted, and MPC was performed on the layout of linearity patterns by applying biases to the edge elements of the design. The CD linearity was dramatically improved even for small pattern sizes; the CD values of isolated spaces, lines and spaces, contacts, and isolated lines varied only by 10 nm for 1 μm down to 100 nm feature size pattern. The MPC concept demonstrated in this article has the potential to push the mask-making resolution limit to an even smaller size than 100 nm patterns without any deterioration in CD...


Japanese Journal of Applied Physics | 2004

Correction of Critical Dimension Uniformity on a Wafer by Controlling Back-Surface Transmittance Distribution of a Photomask

Jong Rak Park; Soon Ho Kim; Jin-Hong Park; Dong-gun Lee; Seong-Yoon Kim; Byung-Cheol Cha; Sung-Woon Choi

We report on a new method for correcting the critical dimension (CD) uniformity on a wafer, using a transmittance-controlled photomask with phase patterns on the back. Theoretical analysis of changes in the illumination-pupil shape with respect to the variation of the size and density of the back-surface 180°-phase contact-hole patterns and experimental results using the transmittance-controlled photomask are presented. It is shown that pattern size on the back of the photomask must be made as small as possible in order to keep the illumination-pupil shape as close as possible to the original one and to achieve as large an illumination intensity drop as possible at the same pattern density. It is demonstrated that the method is very effective for correcting the CD nonuniformity on a wafer that is induced by both CD nonuniformity of the photomask and flare in the exposure tool.


Optical Microlithography XVI | 2003

Fast topography simulation using differential method

Sonny Y. Zinn; Sung-Hyuck Kim; Sung-Woon Choi; Jung-Min Sohn

The finite-difference time-domain (FDTD) is a standard method for simulating mask topography effects. Its algorithm is simple, robust, and easy to implement. However, the FDTD algorithm consumes a lot of computer memory and time. For full three-dimensional simulation of a small contact pattern, it takes several hours on a personal computer. To reduce computing time, we adopted the differential method (DM) which solves the Maxwell equations in spatial frequency domain. Speed is the main advantage of DM over FDTD. To verify the numerical accuracy of DM, we compared the aerial images of several line/space patterns whose topography effects are predicted by DM and FDTD. For the calculation of the aerial images, we used a vector model. For unpolarized light, the maximum intensities differ by about 7%. Having assessed the accuracy of DM, we now describe the simulation result of a two-dimensional pattern. The pattern mainly contains densely packed rectangles. The size of the simulation domain was taken to be 1.972 μm × 4.368 μm × 0.350μm on the mask scale where the first two numbers represent the size of the unit cell of the pattern. Illumination condition is KrF source, annular aperture of 0.85/0.55, and NA of 0.7. Estimated running time of FDTD for topography simulation was 180 days. However, DM took about 280 minutes. The resulting aerial image agreed within about 8% with an experimental image directly measured by an AIMS-FAB.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

Analysis of mask CD error by dose modulation for fogging effect

Hojune Lee; Seung-Hune Yang; Byung-Gook Kim; Sung-Yong Moon; Sung-Woon Choi; Hee-Sun Yoon; Woo-Sung Han

Mask critical dimension (CD) errors are analyzed in case fogging effect is corrected by dose modulation method with comparison of measurement and simulation. In the test mask, an extreme condition from pattern density 0% to 100% is applied for making fogging effect. On the ground of the utmost pattern densities which is one of the factors of fogging effect, various mask CD errors are observed with optical measurement in spite of fogging correction. Each error factor is distinguished from whole mask error using electron beam simulator which is adopting Monte Carlo (MC) calculation for electron scattering modeling, proximity effect correction (PEC) and even fogging effect correction. From error analysis, 3 kinds of mask error are observed. The first CD error is from an inaccurate modeling of fogging effect, the second is from fogging correction program. The third is error from development loading effect. The two formers are comparatively less important than the latter because they can be soluble problems by careful selection of fogging model or improvement of computing systems. However, error from develop loading effect is hard to solve so that not only chemical but also fluid mechanical approach is needed.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Fogging Effect Consideration in Mask Process at 50 KeV E-Beam Systems

Seung-Hune Yang; Yo-Han Choi; Jong-Rak Park; Yong-Hoon Kim; Sung-Woon Choi; Hee-Sun Yoon; Jung-Min Sohn

To achieve higher resolution and critical dimension (CD) accuracy in mask fabrication, 50KeV E-beam systems are used widely. However, as a high acceleration system is adapted, the degree of fogging effect caused by multi-scattering electrons becomes more serious. Although considerable efforts have been made, fogging effect cannot be removed perfectly, therefore several compensation techniques are applied instead. Fogging effect not only deteriorates CD uniformity but also makes mean to target (MTT) control difficult. Moreover, Fogging effect causes proximity effect correction (PEC) error according to PEC methods such as dose modulation type usually used in variable shaped beam (VSB) system and GHOST type commonly used in Gaussian beam system. In this paper, we investigated the fogging effect under the various exposure conditions at raster scan Gaussian beam system and VSB system experimentally and analytically.


Proceedings of SPIE | 2010

Nanoimprint template fabrication using wafer pattern for sub-30nm

Chan-Hoon Park; Kyung-Man Kim; Young-Mi Lee; Kyung-Sang Cho; Yang-koo Lee; Jongwoon Park; In S. Kim; Jeongho Yeo; Sung-Woon Choi; Cheol-hong Park; Dong-ryul Lee; Byoung-chan Lee; Sungwoo Hwang

Patterning of sub-30 nm features using high resolution nano-imprint lithography (NIL) requires use of quartz templates. To this end, various fabrication methods such as e-beam lithography, edge lithography, and focused ion beam lithography were employed for the template formation. Despite significant advances using these methods, NIL template formation process suffers from low throughput and high cost of fabrication when compared with the fabrication of masks used in optical lithography. This is largely owing to a 4X difference in feature sizes involved for the fabrication of NIL template and optical lithography mask. In this paper, we report on a simple, cost-effective method for the fabrication of sub-30 nm NIL templates. Typical fabrication-time required for the formation of sub-30 nm HP templates using conventional Gaussian beam electron beam lithography, runs into several days. Additionally, complicated etch procedures must be employed for pattern transfer onto quartz substrates. Here we propose a low cost, simplified fabrication process for the formation of high resolution NIL templates using wafer pattern replication. We fabricated sub- 30nmHP poly-silicon lines and spaces on silicon wafer using multiple patterning technique. These patterns were subsequently transferred onto quartz substrates using NIL technique. Several types of features were studied to realize a template using the triple patterning technique described above. Results of wafer printing using the said template will be discussed.


Optical Microlithography XVI | 2003

Flare-induced CD variation correction using transmittance controlled mask

Dong-Seok Nam; Gi-Sung Yeo; Jong Rak Park; Sung-Woon Choi; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han

As the design rule shrinks, intra-field CD control becomes more difficult. Flare induced by lens contamination is one of CD variation sources across the exposed field and its distributions are different from tool to tool. To use the exposure tool with the contaminated lens, CD correction method is to be specified to improve the wafer CD uniformity. In this paper, the local flare values are measured using dose-to-clear method and CD measurement method in order to confirm the exposure tool condition. Then we design a mask whose transmittance is controlled locally for CD uniformity enhancement. The mask has several phase-out holes in the quartz side. By distributing the holes with respect to the local area flare, we can make the intensity distribution opposite to the lens local flare.

Collaboration


Dive into the Sung-Woon Choi's collaboration.

Researchain Logo
Decentralizing Knowledge