Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sydney G. Slater is active.

Publication


Featured researches published by Sydney G. Slater.


Journal of Vacuum Science & Technology B | 1998

193 nm single layer resist strategies, concepts, and recent results

Omkaram Nalamasu; F. M. Houlihan; Raymond A. Cirelli; Allen G. Timko; G. P. Watson; Richard S. Hutton; J. M. Kometani; Elsa Reichmanis; Allen H. Gabor; Arturo N. Medina; Sydney G. Slater

Matrix resins used in conventional resists are not suitable for use at 193 nm due to their opacity. Hence new materials that are functionally similar to but structurally different from novolac and poly(hydroxy styrene) are required for 193 nm lithography. We report on the use of alternating copolymers of cycloolefins with maleic anhydride as effective 193 nm matrix resins, with or without dissolution inhibitors based on polyfunctional cholates, for 193 nm lithography. Due to their structural diversity, the required high transparency and etch stability, compatibility with industry standard 0.262 N tetramethyl ammonium hydroxide (TMAH) can be built into the polymer by conventional free redical polymerization techniques. A correlation between the molecular properties of the resist components (matrix resin, dissolution inhibitor, photoacid generator, and base additive) and resist lithographic performance parameters is illustrated. The formulations containing dissolution inhibitors currently show 0.13 μm line/...


23rd Annual International Symposium on Microlithography | 1998

193-nm single-layer photoresists based on alternating copolymers of cycloolefins: the use of photogenerators of sulfamic acids

Francis M. Houlihan; J. M. Kometani; Allen G. Timko; Richard S. Hutton; Raymond A. Cirelli; Elsa Reichmanis; Omkaram Nalamasu; Allen H. Gabor; Arturo N. Medina; John J. Biafore; Sydney G. Slater

Single layer resists for 193 nm based upon resins derived from alternating copolymers of cycloolefins and maleic anhydride will be discussed. Our past work has examined the effect of polymer structure and composition, dissolution inhibitor structure and loading as well as the effect of the photoacid generator on the resist dissolution properties. In this paper, we will report upon on some of our recent investigations aimed at improving performance by use of a new class of photoreactive additives, photogenerators of aminosulfonic acids. One example of these, bis(t- butylphenyl)iodonium cyclamate, will be shown in our high activation 193 nm single layer resist system as being a useful photodecomposable base additive capable of limiting acid diffusion and alleviating post exposure bake delay effects. Finally, we will describe the utility of these materials in low activation energy (acetal based) resist systems.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

New polymers for 193-nm single-layer resists based on substituted cycloolefins/maleic anhydride resins

Ilya L. Rushkin; Francis M. Houlihan; J. M. Kometani; Richard S. Hutton; Allen G. Timko; Elsa Reichmanis; Omkaram Nalamasu; Allen H. Gabor; Arturo N. Medina; Sydney G. Slater; Mark Neisser

A series of new polymers for 193 nm single layer resist based on maleic anhydride/cycloolefin systems with minimum amount of acrylate units were synthesized. In order to minimize the acrylate content, the cycloolefin moiety of the polymers was functionalized with side groups designed to either promotes adhesion to silicon substrate and/or impart the imaging functionality. All polymers were prepared by free-radical polymerization in moderate to high yields and were characterized by variety of techniques. The initial lithographic evaluation of the new resists was carried out. It was found that acrylates can be successfully replaced with appropriately substituted cycloolefins to provide good resolution. The etch resistance of the new materials generally improves with increase in cycloolefin content. The Onishi and Kunz type plots will be discussed.


Advances in Resist Technology and Processing VIII | 1991

Structural effects of DNQ-PAC backbone on resist lithographic properties

Kazuya Uenishi; Yasumasa Kawabe; Tadayoshi Kokubo; Sydney G. Slater; Andrew J. Blakeney

Model backbones without hydroxyl groups and fully esterified diazonaphthoquinone PACs were studied to identify critical structural parameters for dissolution inhibition in conventional diazonaphthoquinone/novolac photoresist systems. Hydrophobicity, presence of a site interactive with novolac, and proximaity of DNQ groups were identified as critical parameters. In general, the inhibiting ability of model compounds or PACs were found to be correlated with their retention time on reverse phase HPLC, a measure of hydrophobicity. Evidence is shown there to support the suggestion that the DNQ group provides a strong hydrogen bonding site to enhance efficiency of inhibition. PACs having DNQ groups in close proximity had lower inhibition than PACs with DNQs far apart.


Advances in Resist Technology and Processing XII | 1995

Structural design of ketal and acetal blocking groups in two-component chemically amplified positive DUV resists

Carlo Mertesdorf; Norbert Muenzel; Heinz Holzwarth; Pasquale Alfred Falcigno; Hans-Thomas Schacht; Ottmar Dr. Rohde; Reinhard Schulz; Sydney G. Slater; David Frey; Omkaram Nalamasu; Allen G. Timko; T. X. Neenan

In the present study, protecting groups of moderate stability, such as acetals and ketals, were investigated as pendant blocking groups in polyvinyl phenols. Polymers were obtained by reacting enol ethers with the phenolic side groups to form acetal or ketal blocked phenols. Decomposition temperatures, glass transition temperatures, and molecular weights of the resulting polymers were monitored and correlated with the protecting group structure. Stability of the protecting groups can be explained by protonation occurring at either of the two oxygen sites, making two cleavage routes possible. Secondary reactions of the released protecting groups in the resist film were investigated and discussed. The structure of the protecting group was designed in order to meet basic resist properties such as resolution/linearity, DOF, post exposure delay latitude and thermal stability. A Canon FPA 4500 (NA equals 0.37) and a GCA XLS exposure tool (NA equals 0.53) were used for the optimization process. A preoptimized resist formulation based on the above criteria exhibits 0.23 micrometers line/space resolution, 0.8 micrometers focus latitude at 0.25 micrometers resolution and approximately two hours post exposure delay latitude.


Advances in Resist Technology and Processing IX | 1992

Selectively DNQ-esterified PAC for high-performance positive photoresists

Kazuya Uenishi; Shinji Sakaguchi; Yasumasa Kawabe; Tadayoshi Kokubo; Medhat A. Toukhy; Alfred T. Jeffries; Sydney G. Slater; Rodney J. Hurditch

DNQ-PACs with varying number of OH groups unesterified were examined for their imaging performance in novolac-based positive resists by means of a dissolution rate study. PACs generally lost dissolution inhibition with increasing numbers of the unesterified OH groups when compared to fully esterified PACs, whereas certain particular PACs still retained strong inhibition even when an OH was left unesterified. Such PACs lost inhibition quickly when one or more OH was left unesterified and gave a large dissolution discrimination upon exposure that resulted in high resolution of the resist. High hydrophobicity and remote DNQ configuration of the PAC molecule, and probably the steric crowding around the OH group appear to be structural requirements for obtaining such a high performance PAC. The PACs also provided an advantage in good solubility to resist solvent, and can be practically made by a proposed selective DNQ esterification.


Advances in Resist Technology and Processing XI | 1994

Relationship between physical properties and lithographic behavior in a high-resolution positive-tone deep-UV resist

Ulrich Schaedeli; Norbert Muenzel; Heinz Holzwarth; Sydney G. Slater; Omkaram Nalamasu

A chemically amplified positive tone resist system, based on new maleimide polymers and a photoacid generator, has been developed, called MISTRAL (Maleimide-Styrene based Resist for Advanced Lithography). These polymers, which are insoluble in aqueous base, consist of two different types of monomers: blocked p- hydroxystyrenes and N-substituted maleimides. In the irradiated zones of the resist film, the phenols are regenerated by the presence of a photoacid, thereby making the resist soluble in an appropriate developer. The maleimides, on the other hand, are needed to introduce high thermal stability and good film properties. The maleimides can further be used to tailor the dissolution properties of the resist. A variety of different MISTRAL polymers have been prepared, starting from the corresponding monomers. Changes in the molecular weight, the types of monomers used and their ratio in the feed have been analyzed: a correlation study between the physical properties of the MISTRAL polymers and their lithographic behavior was systematically performed, revealing quarter micron resolution capabilities.


Journal of Vacuum Science & Technology B | 1992

Characteristics of an improved chemically amplified deep‐ultraviolet positive resist

Omkaram Nalamasu; J. M. Kometani; May Cheng; Allen G. Timko; Elsa Reichmanis; Sydney G. Slater; Andrew J. Blakeney

Chemically amplified positive resist formulations have been shown to exhibit high photospeed, excellent resolution, and tolerance to process parameters such as softbake, exposure, postexposure bake, developer concentration, and temperature. Many chemically amplified positive resists, however, adhered poorly to some substrates (e.g., Si3N4), required considerable optimization of the etch process to achieve desired etch selectivities and were sensitive to airborne basic contaminants. Many chemically amplified negative resists while not as sensitive to contaminants in the clean room air, show retrograde wall angles especially on antireflection coatings, demonstrate poor latitude in defining contact holes and are difficult to strip after pattern transfer steps. In this article we discuss our efforts toward designing new deep‐ultraviolet (UV) matrix resins and resist formulations as well as efforts toward defining an optimized process. The optimized resist process demonstrates 0.25 μm line and space (L/S) and ...


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Second-generation 193-nm bilayer resist

Patrick Foster; Thomas Steinhaeusler; John J. Biafore; Gregory Spaziano; Sydney G. Slater; Andrew J. Blakeney

We have recently developed a bilayer resist system based on a methacrylic silicon-containing imageable layer and a UV curable copolymer undercoat which has exhibited 0.13 micrometers resolution for dense features and 0.12 micrometers resolution for isolated features after substrate etch. In this paper, we will discuss recent advancements in the design of the second generation bilayer resist. In particular, we will discuss the development of two new thermally curable undercoats for use in both 193 nm and 248 nm applications. The optical properties of these new materials have been optimized to reduce reflectivity at the desired wavelength.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Optimization of 193-nm single-layer resists through statistical design

Allen H. Gabor; Ognian N. Dimov; Arturo N. Medina; Mark Neisser; Sydney G. Slater; Ruey H. Wang; Francis M. Houlihan; Raymond A. Cirelli; Gary Dabbagh; Richard S. Hutton; Ilya L. Rushkin; James R. Sweeney; Allen G. Timko; Omkaram Nalamasu; Elsa Reichmanis

Through a series of statistical design experiments we optimized the lithographic performance of a 193 nm single layer resists based on a norbornene-maleic anhydride matrix resin. Several interesting findings were found including that having the PEB temperature improved the performance of the resist. The polymer composition was found to strongly influence the lithographic performance of the resist. Variables that we examined included acrylate loading and blocking level. By optimizing the composition of the polymer, we have obtained resist with high etch resistance, square profiles and 0.130 micron dense line ultimate resolution in 0.5 micron thick films. The resist formulations are compatible with industry standard 0.262 N TMAH. During exposure the resists does not suffer from the outgassing of volatile species.

Collaboration


Dive into the Sydney G. Slater's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Elsa Reichmanis

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge