Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Taher Kagalwala is active.

Publication


Featured researches published by Taher Kagalwala.


Journal of Micro-nanolithography Mems and Moems | 2016

Measuring self-aligned quadruple patterning pitch walking with scatterometry-based metrology utilizing virtual reference

Taher Kagalwala; Alok Vaid; Sridhar Mahendrakar; Michael Lenahan; Fang Fang; Paul Isbester; Michael Shifrin; Yoav Etzioni; Aron Cepler; Naren Yellai; Prasad Dasari; Cornel Bozdog

Abstract. Advanced technology nodes, 10 nm and beyond, employing multipatterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. A self-aligned quadruple patterning (SAQP) process is used to create the fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bears the compounding effects from successive reactive ion etch and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes, which work on an assumption that there is consistent spacing between fins. In SAQP, there are three pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology, such as transmission electron microscopy. We will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.


Proceedings of SPIE | 2017

Advanced applications of scatterometry based optical metrology

Dhairya Dixit; Nick Keller; Taher Kagalwala; Fiona Recchia; Yevgeny Lifshitz; Alexander Elia; Vinit Todi; Jody A. Fronheiser; Alok Vaid

The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements provide an excellent means of measuring asymmetry present in the structure. The useful additional information as well as symmetry-antisymmetry properties of MM elements is used to characterize fin bending, overlay defects and design improvements in the OCD test structures are used to boost OCDs’ sensitivity to pitch-walking. In addition, the validity of the OCD based results is established by comparing the results to the top down critical dimensionscanning electron microscope (CD-SEM) and cross-sectional transmission electron microscope (TEM) images.


Metrology, Inspection, and Process Control for Microlithography XXXII | 2018

Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

Padraig Timoney; Taher Kagalwala; Edward Reis; Houssam Lazkani; Jonathan Hurley; Charles Kang; Paul Isbester; Haibo Liu; Naren Yellai; Michael Shifrin; Yoav Etzioni

In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level across 3 products. In the case of product C, it is found that the predicted Rs correlation to the e-test value is significantly improved utilizing spectra acquired at the e-test structure. This paper will explore the considerations required to enable use of machine learning derived metrology output to enable improved process monitoring and control. Further results from the FEOL and BEOL sectors will be presented, together with further discussion on future proliferation of machine learning based metrology solutions in high volume manufacturing.


Proceedings of SPIE | 2017

High throughput and dense sampling metrology for process control

Lei Sun; Tsunehito Kohyama; Kuniaki Takeda; Hiroto Nozawa; Yuji Asakawa; Taher Kagalwala; Granger Lobb; Xintuo Dai; Shyam Pal; Wenhui Wang; Jongwook Kye; Francis Goodwin

Optical metrology tool, LX530, is designed for high throughput and dense sampling metrology in semiconductor manufacture. It can inspect the dose and focus variation in the process control based on the critical dimension (CD) and line edge roughness (LER) measurement. The working principle is shown with a finite-difference-time-domain (FDTD) CD simulation. Two optical post lithography wafers, including one focus-exposure-matrix (FEM) wafer and one nominal wafer, are inspected for CD, dose and focus analysis. It is demonstrated that dose and focus can be measured independently. A data output method based on global CD uniformity (CDU), inter CDU and intra CDU is proposed to avoid the data volume issue in dense sampling whole wafer inspection.


Proceedings of SPIE | 2017

Complex metrology on 3D structures using multi-channel OCD

Taher Kagalwala; Sridhar Mahendrakar; Alok Vaid; Paul Isbester; Aron Cepler; Charles Kang; Naren Yellai; Matthew Sendelbach; Mihael Ko; Ovadia Ilgayev; Yinon Katz; Lilach Tamam; Ilya Osherov

Device scaling has not only driven the use of measurements on more complex structures, in terms of geometry, materials, and tighter ground rules, but also the need to move away from non-patterned measurement sites to patterned ones. This is especially of concern for very thin film layers that have a high thickness dependence on structure geometry or wafer pattern factor. Although 2-dimensional (2D) sites are often found to be sufficient for process monitoring and control of very thin films, sometimes 3D sites are required to further simulate structures within the device. The measurement of film thicknesses only a few atoms thick on complex 3D sites, however, are very challenging. Apart from measuring thin films on 3D sites, there is also a critical need to measure parameters on 3D sites, which are weak and less sensitive for OCD (Optical Critical Dimension) metrology, with high accuracy and precision. Thus, state-ofthe-art methods are needed to address such metrology challenges. This work introduces the concept of Enhanced OCD which uses various methods to improve the sensitivity and reduce correlations for weak parameters in a complex measurement. This work also describes how more channels of information, when used correctly, can improve the precision and accuracy of weak, non-sensitive or complex parameters of interest.


Proceedings of SPIE | 2016

Scatterometry-based metrology for SAQP pitch walking using virtual reference

Taher Kagalwala; Alok Vaid; Sridhar Mahendrakar; Michael Lenahan; Fang Fang; Paul Isbester; Michael Shifrin; Yoav Etzioni; Aron Cepler; Naren Yellai; Prasad Dasari; Cornel Bozdog

Advanced technology nodes, 10nm and beyond, employing multi-patterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. Self-Aligned Quadruple Patterning (SAQP) process is used to create the Fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bares compounding effects from successive Reactive Ion Etch (RIE) and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes which work on an assumption that there is consistent spacing between fins. In SAQP there are 3 pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology such as Transmission Electron Microscopy (TEM). In this paper we will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.


ECS Journal of Solid State Science and Technology | 2016

A Combined Gas Cluster Ion Beam (GCIB) and Chemical-Mechanical Polish (CMP) Planarization Scheme for Tungsten Replacement Metal Gate (W-RMG)

Wei-Tsu Tseng; Justin Long; Kaushik Mohan; Taher Kagalwala; Changhong Wu; Connie Truong


Journal of Micro-nanolithography Mems and Moems | 2018

Nonconventional applications of Mueller matrix-based scatterometry for advanced technology nodes

Dhairya Dixit; Nick Keller; Yevgeny Lifshitz; Taher Kagalwala; Alexander Elia; Vinit Todi; Jody A. Fronheiser; Alok Vaid


Proceedings of SPIE | 2017

Optical metrology strategies for inline 7nm CMOS logic product control

Michael Lenahan; Sridhar Mahendrakar; Alok Vaid; Taher Kagalwala; Kartik Venkataraman; Dawei Hu; Ming Di; Da Song; Janay Camp; Zhou Ren; Nam Hee Yoon


Archive | 2017

MEASUREMENT SYSTEM AND METHOD FOR MEASURING IN THIN FILMS

Cornel Bozdog; Alok Vaid; Sridhar Mahendrakar; Mainul Hossain; Taher Kagalwala

Collaboration


Dive into the Taher Kagalwala's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Paul Isbester

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Michael Shifrin

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar

Yoav Etzioni

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge