Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Alok Vaid is active.

Publication


Featured researches published by Alok Vaid.


Proceedings of SPIE | 2007

Lithography process control using scatterometry metrology and semi-physical modeling

Kevin R. Lensing; Jason P. Cain; Amogh Prabhu; Alok Vaid; Robert J. Chong; Richard Good; Bruno M. LaFontaine; Oleg Kritsun

In this paper, results and analysis are presented from Advanced Micro Devices (AMD) efforts at calculating lithography dose and focus parameters using scatterometry metrology and semi-physical CD models. The system takes advantage of the accurate and precise top and bottom CD data produced by scatterometry to differentiate dose and focus variation. To build the lithography process model, scatterometry data is generated for each field of a focus-exposure matrix (FEM) wafer, and the resulting top and bottom CD data is used to fit the parameters of series expansions relating CD to dose and focus. When new CD data is generated, the models can be inverted to solve for dose and focus independently. Our methodology employs a flexible modeling and inversion approach in an attempt to make the technique applicable to any production film stack and any line spacing regime. The quality of the inversion results are highly correlated to the degree of focus observability present in the system. Our results will show how a series of litho process with varied film stacks and line/space ratios respond to this technique, and we will report some best practices for a variety of use cases ranging from equipment characterization to focus monitoring on product.


Proceedings of SPIE | 2009

Product-driven material characterization for improved scatterometry time-to-solution

Alok Vaid; Carsten Hartig; Matthew Sendelbach; Cornel Bozdog; Hyang Kyun Helen Kim; Michael Sendler; Yoel Cohen; Victor Kucherov; Boaz Brill; Stanislav Stepanov

This paper discusses a novel methodology of material characterization that directly utilizes the scatterometry targets on the product wafer to determine the optical properties (n&k) of various constituent materials. Characterization of optical constants, or dispersions, is one of the first steps of scatterometry metrology implementation. A significant benefit of this new technique is faster time-to-solution, since neither multiple single-film depositions nor multi-film depositions on blanket/product wafers are needed, making obsolete a previously required-but very time-consuming-step in the scatterometry setup. We present the basic elements of this revolutionary method, describe its functionality as currently implemented, and contrast/compare results obtained by traditional methods of materials characterization with the new method. The paper covers scatterometry results from key enabling metrology applications, like high-k metal gate (postetch and post-litho) and Metal 2 level post-etch, to explore the performance of this new material characterization approach. CDSEM was used to verify the accuracy of scatterometry solutions. Furthermore, Total Measurement Uncertainty (TMU) analysis assisted in the interpretation of correlation data, and shows that the new technique provides measurement accuracy results equivalent to, and sometimes better than, traditional extraction techniques.


Proceedings of SPIE | 2008

Scatterometry as technology enabler for embedded SiGe process

Alok Vaid; Rohit Pal; Matthew Sendelbach; Shahin Zangooie; Kevin R. Lensing; Carsten Hartig

New material innovations such as Embedded Silicon Germanium (eSiGe) provide a substantial metrology challenge for the 45 nm node technology and beyond. We discuss the details of how scatterometry provides in-line metrology solution to measure key features of the eSiGe structure. Critical features to measure are eSiGe to gate proximity and the un-etched silicon on insulator (SOI) thickness. The proximity measurement is particularly vital because it has a major influence on device performance, yet there was no high throughput in-line metrology solution until scatterometry. Results from multiple scatterometry platforms (three) are presented along with a summary of various metrology performance metrics like precision and accuracy. We also show how scatterometry measurements have been instrumental in supporting process development efforts. The comparison of scatterometry measurements to reference data from multiple metrology techniques is presented in order to evaluate the accuracy performance of various supplier platforms. Reference metrology techniques used are thin-film measurements from un-patterned targets, transmission electron microscopy (TEM) and cross-section scanning electron microscopy (XSEM). Tool matching uncertainty (TMU) analysis and weighted reference measurement system (wRMS) technique have been utilized to assist in the interpretation of correlation data. Scatterometry results from various wafers that were generated to modulate spacer width and etch cavity are also presented. The results demonstrate good sensitivity for key measurement features, especially eSiGe proximity and un-etched SOI thickness, which have very tight process control requirements.


Proceedings of SPIE | 2008

Characterization of 32nm Node BEOL Grating Structures Using Scatterometry

Shahin Zangooie; Matthew Sendelbach; Matthew Angyal; Charles N. Archie; Alok Vaid; Itty Matthew; Pedro Herrera

Implementations of scatterometry in the back end of the line (BEOL) of the devices requires design of advanced measurement targets with attention to CMP ground rule constraints as well as model simplicity details. In this paper we outline basic design rules for scatterometry back end targets by stacking and staggering measurement pads to reduce metal pattern density in the horizontal plane of the device and to avoid progressive dishing problems along the vertical direction. Furthermore, important characteristics of the copper shapes in terms of their opaqueness and uniformity are discussed. It is shown that the M1 copper thicknesses larger than 100 nm are more than sufficient for accurate back end scatterometry implementations eliminating the need for modeling of contributions from the buried layers. AFM and ellipsometry line scans also show that the copper pads are sufficiently uniform with a sweet spot area of around 20 μm. Hence, accurate scatterometry can be done with negligible edge and/or dishing contributions if the measurement spot is placed any where within the sweet spot area. Reference metrology utilizing CD-SEM and CD-AFM techniques prove accuracy of the optical solutions for the develop inspect and final inspect grating structures. The total measurement uncertainty (TMU) values for the process of record line width are of the order of 0.77 nm and 0.35 nm at the develop inspect and final inspect levels, respectively.


Proceedings of SPIE | 2009

Monitoring measurement tools: new methods for driving continuous improvements in fleet measurement uncertainty

Eric P. Solecky; Chas Archie; Matthew Sendelbach; Ron Fiege; Mary Zaitz; Dmitriy Shneyder; Carlos Strocchia-rivera; Andres Munoz; Srinivasan Rangarajan; William A. Muth; Andrew Brendler; Bill Banke; Bernd Schulz; Carsten Hartig; Jon-Tobias Hoeft; Alok Vaid; Mark C. Kelling; Benjamin Bunday; John Allgair

Ever shrinking measurement uncertainty requirements are difficult to achieve for a typical metrology toolset, especially over the entire expected life of the fleet. Many times, acceptable performance can be demonstrated during brief evaluation periods on a tool or two in the fleet. Over time and across the rest of the fleet, the most demanding processes often have measurement uncertainty concerns that prevent optimal process control, thereby limiting premium part yield, especially on the most aggressive technology nodes. Current metrology statistical process control (SPC) monitoring techniques focus on maintaining the performance of the fleet where toolset control chart limits are derived from a stable time period. These tools are prevented from measuring product when a statistical deviation is detected. Lastly, these charts are primarily concerned with daily fluctuations and do not consider the overall measurement uncertainty. It is possible that the control charts implemented for a given toolset suggest a healthy fleet while many of these demanding processes continue to suffer measurement uncertainty issues. This is especially true when extendibility is expected in a given generation of toolset. With this said, there is a need to continually improve the measurement uncertainty of the fleet until it can no longer meet the needed requirements at which point new technology needs to be entertained. This paper explores new methods in analyzing existing SPC monitor data to assess the measurement performance of the fleet and look for opportunities to drive improvements. Long term monitor data from a fleet of overlay and scatterometry tools will be analyzed. The paper also discusses using other methods besides SPC monitors to ensure the fleet stays matched; a set of SPC monitors provides a good baseline of fleet stability but it cannot represent all measurement scenarios happening in product recipes. The analyses presented deal with measurement uncertainty on non-measurement altering metrology toolsets such as scatterometry, overlay, atomic force microscopy (AFM) or thin film tools. The challenges associated with monitoring toolsets that damage the sample such as the CD-SEMs will also be discussed. This paper also explores improving the monitoring strategy through better sampling and monitor selection. The industry also needs to converge regarding the metrics used to describe the matching component of measurement uncertainty so that a unified approach is reached regarding how to best drive the much needed improvements. In conclusion, there will be a discussion on automating these new methods3,4 so they can complement the existing methods to provide a better method and system for controlling and driving matching improvements in the fleet.


Proceedings of SPIE | 2008

Assessing scatterometry for measuring advanced spacer structures with embedded SiGe

Matthew Sendelbach; Shahin Zangooie; Alok Vaid; Pedro Herrera; Jingmin Leng; InKyo Kim

This paper discusses the scatterometry-based measurement of a complex thin-spacer PFET structure containing an embedded SiGe (eSiGe) trench. The thickness of the spacer and the overfill of the eSiGe trench are critical measurement parameters for such a structure. Although the corresponding NFET structure does not contain the eSiGe-filled trench, it is also found to be a good barometer of thin-spacer measurement capability and so is also used in the study. First, the paper discusses the dispersion analysis challenges and approaches for these 45 nm node structures. Next, two sets of scatterometry hardware, one in production and one under development, are used to measure the critical parameters in order to understand the differences in measurement performance between the systems. Transmission Electron Microscopy (TEM) analysis is used as a reference metrology to assess the accuracy performance of the hardware. Results show that the advanced optics of the newer system significantly improves the dynamic repeatability of the parameters compared to the older system, while the newer systems extended wavelength range down into the deep UV (DUV) can provide a noticeable improvement in measurement accuracy due to the significantly greater parameter sensitivity in this wavelength range.


advanced semiconductor manufacturing conference | 2017

Practical aspects of TMU based analysis for scatterometry model referencing AM: Advanced metrology

Carsten Hartig; Adam M. Urbanowicz; Alok Vaid; Peter Ebersbach; Daniel Fischer; Robert Melzer; Francisco Sanchez; David Mezerette; Yinon Katz; Matthew Sendelbach

We discuss utilization of TMU (Total Measurement Uncertainty) analysis based on Mandel Regression for scatterometry model referencing. We demonstrate practical instances where the reference metrology uncertainty seems to exceed that of the scatterometry model which, in turn, forces the TMU analysis into an invalid regime. Knowing that the source of this result is the wrong estimation of the reference uncertainty, we focus on reducing the error of the reference metrology as well as improving the reference uncertainty estimation. We are looking at practical aspects of reference metrology hardware and recipes as well as the whole reference metrology setup. We discuss in detail CD-SEM and AFM metrology, as well as other means used to qualify OCD (Optical Critical Dimension) models in a production environment.


advanced semiconductor manufacturing conference | 2016

Manufacturing excellence using multi-platform ellipsometry thickness measurement fleet on advanced nodes

Michael Lenahan; Alok Vaid; Sridhar Mahendrakar; Steven Seipp; David Jayez; Alice Yueh; Shweta Saxena; Eric P. Solecky; Samuel Gizzi; Amir Heller; Tianhao Zhang; Da Song; Nam Hee Yoon; Janay Camp; Kartik Venkataraman

In this paper we will demonstrate a systematic approach to significantly improve and sustain a large fleet of thickness metrology tools being used for several advanced nodes and products including 10/7nm at GLOBALFOUNDRIES Fab8 site. This challenge is compounded due to having multiple platforms of tools in the same fleet (heterogeneous tool matching) — Aleris 8350, Aleris 8510 & LD10 Ellipsometry tools. In order to assess the health of this combined fleet, a set of critical inline parameters were identified and patterned wafers were designated for metrology monitoring purposes. The chosen matching parameters covered a range of thickness values, single layer and multi-layer stacks, and measurements that utilized different tool subsystems. These subsystems include BBSE (Broad-Band Spectroscopic Ellipsometry), UVSE (Ultraviolet Spectroscopic Ellipsometry), and SWE (Single Wavelength Ellipsometry). These critical parameter measurements incorporate our most challenging measurements (down to a total measurement matching budget of 0.2 A) and are a representative subset of the thousands of recipes that we run on these tool sets. This methodology provides us with data to help identify the problem tools in our fleet and breaks down the contributions from four key failure modes. This data is rolled up into a weekly summary from which we can schedule work to investigate and improve tool health. Through tracking the improvements in measurement performance from week to week, we are able to demonstrate the effectiveness of this methodology. Additionally we have been able to link these failure modes to specific hardware configurations in order to improve our ability to maintain our fleet.


Archive | 2015

Perspectives on Future Challenges and Considerations

Eric P. Solecky; Alok Vaid; Bo Su

This final chapter concludes with some suggestions for future metrology toolset improvements and other themes that require future consideration. Metrology has changed significantly in the past 15 years and will continue to change. It is hoped that these suggestions will become mainstream or at least motivate readers to pursue new ideas that drive positive changes.


Archive | 2015

Metrology Toolsets in IC Manufacturing: Charged- Particle Metrology Systems

Eric P. Solecky; Alok Vaid; Bo Su

The primary charged-particle-based metrology system used today is the CD-SEM. It accelerates electrons across the measurement structure and looks at the electron yield for imaging. For the last 15 or so years, ion based imaging systems have been explored, but no production-worthy tools are available as of 2015, and no production-grade ion beam tools will be commercially available in the foreseeable future.nnThe CD-SEM is the primary CD metrology tool for IC manufacturing. It has the resolution, precision, and accuracy to measure small-scale dimensions in nanometers. In addition, after 20þ years of development and improvements, it has the automation and throughput to be the sole production-proven CD metrology tool. CD metrologies are used in two critical image-transfer process steps: lithography and etch. CDs in different layers refer to different feature dimensions, such as linewidths, spacewidths, contact, via hole diameters, etc. Different measurement algorithms have been developed to measure different CDs.

Collaboration


Dive into the Alok Vaid's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Rohit Pal

Advanced Micro Devices

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Cornel Bozdog

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge