Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Toshihisa Tomie is active.

Publication


Featured researches published by Toshihisa Tomie.


Optics Letters | 2006

10 kHz 40 W Ti:sapphire regenerative ring amplifier.

Isao Matsushima; Hidehiko Yashiro; Toshihisa Tomie

A Ti:sapphire regenerative ring amplifier is developed. The power of 40 W before compression and 26 W after compression are achieved at 10 kHz repetition rate. Thermal distortion of a compressor grating is reduced by air flow cooling.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

High-speed actinic EUV mask blank inspection with dark-field imaging

Tsuneo Terasawa; Yoshihiro Tezuka; Masaaki Ito; Toshihisa Tomie

We proposed an actinic (at-wavelength) EUV mask blank inspection method providing a printable phase-defect detection capability within the whole area of the mask blanks in an allowable inspection time. The inspection tool based on our method consists of optics for illuminating a mask blank with an EUV light, a mask blank stage, Schwarzschild optics for dark-field imaging, and a CCD camera. Phase-defect detection experiments were performed using a 10 Hz LPP source and with 0.2 NA imaging optics with the center obscuration NA of 0.1. Two-dimensional dark field image signal of 0.5 mmX0.5 mm area was captured by the CCD camera with 1M pixels and the phase-defects with the size down to 70 nm were successfully detected. In addition, a programmed phase defect and natural defect with 2 nm height were clearly detected. Inspection time including image capture and data processing for 0.5 mmX0.5 mm area was approximately 2 second. This is equivalent to an inspection time of 800 seconds/cm2. Inspection speed will increase by more than 10 times when combined with high power light source and high speed data acquisition. Although further optimization is needed, possibility of actinic inspection of EUV mask blanks within a practical inspection time has been demonstrated.


Proceedings of SPIE | 2009

Development of actinic full-field EUV mask blank inspection tool at MIRAI-Selete

Tsuneo Terasawa; Takeshi Yamane; Toshihiko Tanaka; Teruo Iwasaki; Osamu Suga; Toshihisa Tomie

We have developed an actinic full-field EUV mask blank inspection tool that consists of an EUV light source, a 26X Schwarzschild optics for dark-field imaging, an EUV-sensitive backside-illuminated charge-coupled-device (BI-CCD) camera, and a mechanical mask stage with a stroke range of 160 mm. A critical illumination system is employed by setting ellipsoidal and plane mirrors to illuminate an area of mask blank that is to be inspected. Since in this setup a circular area on the mask blank with approximately 0.8 mm diameter is illuminated, a 0.5×0.5 mm2 square image area can be addressed without moving the mask stage. The inspection tool can also be operated under time delay and integration (TDI) mode by scanning the mask stage with a constant velocity. In spite of comparatively large effective pixel size of 500 nm on the mask blank, small defect-to-pixel ratio such as 0.12 for phase defect of 60 nm in width and 1.5 nm in height, was established as a measured value of defect detection sensitivity by using both static imaging mode and time-delay and integration (TDI) operation mode.


Japanese Journal of Applied Physics | 2009

Actinic Mask Blank Inspection and Signal Analysis for Detecting Phase Defects Down to 1.5 nm in Height

Tsuneo Terasawa; Takeshi Yamane; Toshihiko Tanaka; Teruo Iwasaki; Osamu Suga; Toshihisa Tomie

The capability of an actinic (at-wavelength) inspection system for extreme ultraviolet lithography (EUVL) mask blank has been analyzed by experiment and simulation. The actinic inspection optics, that we developed to obtain a two-dimensional dark field image, consists of illumination optics, Schwarzschild optics with concave and convex mirrors as dark-field imaging optics, and a back-illuminated charge-coupled-device (BI-CCD). A test mask blank with programmed bump defects of smaller sizes and lower heights compared to those used in a previous work was fabricated and the bump defects were detected by the tool. The inspection experiments demonstrated that fabricated multilayer defects down to 1.5 nm in top height and 60 nm in width can be successfully detected. The simulation further indicated that the inspection optics performed well in detecting phase defects of 1.5 nm in height and 40 nm in width.


Journal of Micro-nanolithography Mems and Moems | 2012

Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects

Toshihisa Tomie

Today intermediate-focus equivalent extreme ultraviolet (EUV) power of several watts is now available, and EUV lithography scanners are being considered as potential scanners for high-volume manufacturing (HVM) tools. However, for high-volume manufacturing with throughput of over 100 wafers per hour, EUV power of 350 W may be required. We review the history of EUV sources for lithography with tin as fuel. We discuss the ideal plasma for tin sources for extreme ultraviolet lithography (EUVL), conditions for a high conversion efficiency of 4% to 5% in 2πsr, and the existence of a repetition rate limit at around 40 kHz. We review the present status reported by EUV source suppliers and the prospects of tin laser-produced plasma as an EUV source for HVM EUVL.


Japanese Journal of Applied Physics | 2006

Sensitivity-Limiting Factors of at-Wavelength Extreme Ultraviolet Lithography Mask Blank Inspection

Yoshihiro Tezuka; Toshihiko Tanaka; Tsuneo Terasawa; Toshihisa Tomie

Sensitivity-limiting factors of at-wavelength inspection for extreme UV lithography (EUVL) mask blanks have been analyzed. The sensitivity of the inspection tool is modeled on the basis of the inspection image of programmed multilayer defects and the characterized attributes of the tool components. The characterization includes point spread function (PSF) analysis of the imaging optics and the back-illuminated charge-coupled-device (BI-CCD) sensor as well as power spectral density (PSD) analysis of the mask blank surface. The statistical scaling of signal-to-noise ratio (SNR) in conjunction with the variables of optics, sensors, and mask blanks has predicted effective improvement paths of its sensitivity. Increasing the magnification of optics, reducing the total PSF, and improving the roughness of mask blanks will address the needs for its application in future generations. Signal intensity dependency on the geometrical attributes of defects is also studied by both experiment and electromagnetic simulation. It is revealed that the bottom height of defects and defect smoothing throughout the multilayer deposition significantly influence defect signal intensity. Comprehensive measures to accommodate a variety of defects and to mitigate associated risks are also discussed.


24th Annual BACUS Symposium on Photomask Technology | 2004

Actinic detection and signal characterization of multilayer defects on EUV mask blanks

Yoshihiro Tezuka; Masaaki Ito; Tsuneo Terasawa; Toshihisa Tomie

Actinic (at-wavelength) inspection of EUV mask blanks using a dark-field imaging proved a high sensitivity for detecting multilayer defects through detecting programmed phase defects accurate to 70nm in width and 2nm in height without any detection of false defects. Characterization of the experimental actinic inspection tool is ongoing to find the ultimate sensitivity of this tool to define the detailed specification of a proto-type tool. In this paper, we present a detailed analysis of the defect signal intensity compared with AFM measurements taken from more than 20 programmed phase defects. This analysis proved that the signal intensity is mainly correlated with the volume of bumps whose sizes range from 2.8nm to 6.0nm in height and from 59nm to 86nm in width. The correlation suggests that the intensity variation within the group of the same design size reflects the actual variation of the defect size. Some natural defects other than programmed defects are also detected. The comparison of the defect signal and AFM analysis suggests that one of the detected defects is not a pure phase defect but more like an amplitude defect. The smallest natural defect had a surface height as low as 1.5nm, which can only be detected by lowering the detection threshold to the level with some statistically expected false defect counts. Current efforts to improve its detection capability while minimizing false defect detection are also discussed.


Emerging Lithographic Technologies VIII | 2004

Actinic detection of multilayer defects on EUV mask blanks using LPP light source and dark-field imaging

Yoshihiro Tezuka; Masaaki Ito; Tsuneo Terasawa; Toshihisa Tomie

The development of defect-free mask blanks including inspection is one of the big challenges for the implementation of extreme ultraviolet lithography (EUVL), especially when the introduction of EUVL is rescheduled to a later technology node. Among others, inspection of multilayer coated mask blanks with no oversight of critical defects and with minimal detection of false defects is a challenging issue for providing mask blanks free of defects or with thorough characterization of any existing defects. MIRAI Project has been developing a novel actinic (at-wavelength) inspection tool for detecting critical multilayer defects using a dark-field imaging and a laser-produced plasma (LPP) light source, expecting better sensitivity and better correlation with printability. The first experimental set up is completed for proof-of-concept (POC) demonstration using 20x Schwarzschild imaging optics and a backsideilluminated CCD. An in-house LPP light source is integrated to optimally illuminate the area of interest by EUV with a wavelength of 13.5nm. For its illuminator, a multilayer-coated elliptical mirror is used to illuminate a mask blank with the EUV that is collected within a wide solid angle from the light source. The first EUV dark-field image is obtained from a mask blank with programmed multilayer defects which are manufactured by locating well-defined patterns before depositing Mo/Si multilayer on EUV mask substrate. All the fabricated multilayer defects down to 70nm in width and 3.5nm in height are detected as clear signals that are distinguishable from the background intensity arising from the scattering by the surface roughness of the multilayer-coated mask blank. We have also detected a phase defect as low as 2nm in height. False defect count was not only zero within the area of view but also statistically confirmed to be less than one within the whole area of a mask blank assuming the extrapolation of observed fluctuation of background intensity is applicable. EUV pulse energy measurements and a CCD speed scaling suggested that the inspection throughput of 2 hours per mask blank will be feasible. The actinic tool based on this scheme will, not only serve for benchmarking with non-actinic tools or support multilayer deposition process improvements, but also be a viable choice for qualification of premium EUV mask blanks.


Laser and Particle Beams | 1989

Development of a high-power KrF laser system, ASHURA

Y. Owadano; Isao Okuda; Yuji Matsumoto; Mitsumori Tanimoto; Toshihisa Tomie; Kazuyoshi Koyama; M. Yano

The present status of the development of a high-power KrF laser system, Ashura, is described. The main amplifier has generated 710 J (95 ns) at the pumping density of 1·lMW/cm 3 with the wall plug efficiency of 2·0%. Maximum power of 9·0 GW (200 J/22 ns) per beam has been obtained from the beam lines of six-time pulse multiplexing. Power density of 1 × 10 14 W/cm 2 has been achieved on target with a 10 −6 pre-pulse.


Metrology, Inspection, and Process Control for Microlithography XVII | 2003

Concept of ultra-fast at-wavelength inspection of defects on a multilayer mask using a laser-produced plasma source

Toshihisa Tomie; Tsuneo Terasawa; Yoshihiro Tezuka; Masaaki Ito

New configuration is presented for ultra-fast at-wavelength inspection of defects on multilayer mask blanks. Key ideas are detecting defects in a high NA dark-field observation by using a Schwarzschild objective, sub-micron resolution 2D imaging of mask surface on a detector, and large etendue illumination by using a laser-plasma source. Expected time for inspecting a whole mask is shorter than 2 hours.

Collaboration


Dive into the Toshihisa Tomie's collaboration.

Top Co-Authors

Avatar

Hidehiko Yashiro

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Isao Matsushima

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Isao Okuda

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Eisuke Miura

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yoshiro Owadano

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Y. Owadano

Rutherford Appleton Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eiichi Takahashi

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge