Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Toshiya Takahashi is active.

Publication


Featured researches published by Toshiya Takahashi.


Proceedings of SPIE | 2013

Study of EUV outgassing spatial distribution toward witness plate in the EUV outgas tester

Yukiko Kikuchi; Eishi Shiobara; Hiroyuki Tanaka; Isamu Takagi; Kazuhiro Katayama; Norihiko Sugie; Toshiya Takahashi; Soichi Inoue; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita

In the experiments to evaluate outgassing of EUV resists, it was found that the amount of PAG anion and/or Fluorine observed on the witness sample (WS) was different for the variety of WS location and direction in the testing chamber. The XPS measurements showed there were about 10 times of Fluorine atoms on the WS which were put to face the exposing position of the resist on the wafer compared to that were put to turn the back on the wafer. As the Fluorine is the component of photo-acid-generator (PAG) of resists used in the experiments, it is thought that the PAG anion and/or its decomposed species have high sticking coefficient to the WS. The simulation was performed to understand the directivity of outgassing from the exposing point, using the Direct Simulation Monte Carlo (DSMC) method. The results indicated that the sticking coefficient of PAG anion is about 0.8, suggesting that the position and direction of WS in the chamber relative to the wafer strongly affects the amounts of deposition of the species having big sticking coefficients.


Japanese Journal of Applied Physics | 2013

Development of Tool for Contamination Layer Thickness Measurement Using High Power Extreme Ultraviolet Light and in Situ Ellipsometer

Takeo Watanabe; Yukiko Kikuchi; Toshiya Takahashi; Kazuhiro Katayama; Isamu Takagi; Norihiko Sugie; Hiroyuki Tanaka; Eishi Shiobara; Soichi Inoue; Tetsuo Harada; Hiroo Kinoshita

Extreme ultraviolet (EUV) lithographic exposure is performed in a vacuum environment. The Mo/Si multilayers used as imaging optics and a mask can be contaminated by carbon, which is originally an outgassing species of the hydrocarbons that decompose from the resist materials during EUV exposure. This carbon contamination reduces the total reflectivity of the imaging optics and mask, and affects the lithographic performance, including the throughput and resist pattern replication resolution. This contamination should be reduced to maintain the lithographic performance; thus, the relationship between the carbon contamination and the outgassing species should be clarified. We therefore developed a novel tool for in situ contamination layer thickness evaluation. The distinctive features of this tool are as follows: 1) exposure using a total power of in-band EUV light (267 mW/cm2) that is as high as that required for high-volume manufacturing (>100 mW/cm2), 2) the visible light beam of the in situ spectroscopic ellipsometry is focused on the Mo/Si multilayer witness sample to obtain the detection limit for measured thickness and that the limit was found to be 0.03 nm, and 3) the witness and 8-in. resist wafer sample stages have extremely low outgassing characteristics. Using this tool, a starting adhesion point was observed and the carbon contamination layer thickness was evaluated during the resist exposure. For 90 min resist exposure, no contamination adhered onto the Mo/Si witness sample until the exposure time reached 25 min, and after which, the contamination started to adhere to the witness sample.


Proceedings of SPIE | 2012

Resist outgassing characterization for qualification in high power EUV lithography

Toshiya Takahashi; Norihiko Sugie; Kazuhiro Katayama; Isamu Takagi; Yukiko Kikuchi; Eishi Shiobara; Hiroyuki Tanaka; Soichi Inoue; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita

For high volume manufacturing (HVM) utilizing extreme ultraviolet (EUV) lithography, practical resist outgassing qualification system is required. Witness sample (WS) testing systems using electron beam (EB) or low power EUV light have been proposed as candidates, however some issues remain on how these alternative light sources, in comparison to high power EUV, will affect resist chemical reactions and ultimately resist outgassing. In this paper, we have investigated resist induced optics contamination by utilizing two types of WS test systems of high power EUV light and EB sources. A correlation between these light sources is discussed, especially focusing on the resulting chemical phenomena depending on resist material properties.


Proceedings of SPIE | 2013

Resist outgassing characterization based on the resist compositions and process

Norihiko Sugie; Toshiya Takahashi; Kazuhiro Katayama; Isamu Takagi; Yukiko Kikuchi; Hiroyuki Tanaka; Eishi Shiobara; Soichi Inoue

For extreme ultraviolet (EUV) lithography, some critical issues concerning possible tool optics contamination due to resist outgassing remain to be resolved [1-4]. Before resists can be used on the ASML NXE:3100 and ASML NXE:3300 EUV scanners, they need to be tested in dedicated equipment and qualify according to the ASML NXE outgassing guidelines. In view of these guidelines, EIDEC has been working on an infrastructure set-up to enable resist outgassing testing. However, further investigations are still necessary to realize the application of the proposed outgassing evaluation method. Moreover, given the significant number of resists to be tested, fundamental studies to established concepts that reduce the number of resist outgassing tests are necessary. The application of these concepts focused on resist outgassing characterization based on resist composition and processes is presented. Moreover, a suggestion on how this fundamental information can be applied to reduce the total number of resist outgassing tests required for various EUV resists is discussed.


Proceedings of SPIE | 2013

Process development of the EUVL negative-tone imaging at EIDEC

Toshiya Takahashi; Ryuji Onishi; Toshiro Itani

Underlayer and resist materials were investigated for negative-tone development (NTD) using extreme ultraviolet (EUV) lithography. NTD-compatible underlayers reduced the pattern collapse observed in preliminary NTD evaluations. An NTD resist with higher activation energy (Ea) deprotecting groups or higher glass transition temperature (Tg) polymer improved the resolution and line width roughness (LWR). The improvements provided by the combination of underlayer and resist materials with respect to NTD, ultimate resolution, and trench patterning were evaluated. In addition, we studied the dissolution characteristics by comparing negative-tone and positive-tone resists to achieve a fundamental understanding of their characteristics.


Proceedings of SPIE | 2014

Contribution of EUV resist components to the non-cleanable contaminations

Eishi Shiobara; Toshiya Takahashi; Norihiko Sugie; Yukiko Kikuchi; Isamu Takagi; Kazuhiro Katayama; Hiroyuki Tanaka; Soichi Inoue; Takeo Watanabe; Tetsuro Harada; Hiroo Kinoshita

The suppression of outgassing from extreme ultraviolet (EUV) resist needs to be addressed for realizing EUV lithography (EUVL) because outgassing is likely the main contributor to the contamination of mirror optics in EUV scanners, which results in reflectivity loss. Resist outgassing causes two types of contamination: cleanable contamination, involving hydrocarbon contaminants, and noncleanable contamination, involving noncarbon components. The relation of cleanable contamination between EUV- and electron beam (EB)-based evaluations is linear. However, the relation of noncleanable contamination is not clear. In this study, we investigated the contribution of EUV resist components to noncleanable contamination using different photoacid generator components. The cleanability of noncleanable elements (sulfur, iodine, chlorine, and bromine) in contamination films was measured and compared for the EUV- and EB-based outgas testers. The result suggested that the chlorine and bromine contaminants were completely removed after cleaning. On the other hand, sulfur and iodine remained even after cleaning. This suggested that the careful use of iodine in resist materials is necessary due to its high photoabsorption and low cleanability. In addition, the cleaning rate and noncleanability of contaminants in the EUV-based test were larger than in the EB-based test. This suggests that the contamination film in the EUV-based test is more porous than that in the EB-based test.


Proceedings of SPIE | 2011

Characterizing polymer bound PAG-type EUV resist

Hiroshi Tamaoki; Shinji Tarutani; Hideaki Tsubaki; Toshiya Takahashi; Naoki Inoue; Tooru Tsuchihashi; Hiroo Takizawa; Hidenori Takahashi

Blurs, swelling properties and lithographic performance for polymer bound PAG and polymer PAG blended type resists were studied. A Blur strongly depends on PAG size and the polymer bound PAG type resist reduces the Blur. The Blur for the polymer bound PAG type resist is smaller than that for ZEP (non CAR). That indicates that polymer bound PAG should reduce secondary electron diffusion. The polymer bound PAG type resist acquires very small Blur with higher sensitivity and suppresses swelling very well, therefore polymer bound PAG is one of the promising technologies that improve Resolution, LWR and sensitivity (RLS) property. RLS property on EUV exposure tool is significantly improved by using the polymer bound PAG type resist. Resolution reaches 24nmhp and is limited by pattern collapse and line breaking. Further lithographic experiments on EB exposure tool which has higher NILS than EUV exposure tool were carried out in order to make clear relation between Blur and resolution. The resolution of the polymer bound PAG type resist reaches 17.5nmhp with 35nm thickness and there is possibility that the resolution of an optimized polymer bound PAG type resist reaches under 15nmhp. The resolution of the resist with lower capillary force (C.F.) given and lower swelling and on higher NILS exposure tool strongly depends on the Blur.


Proceedings of SPIE | 2014

Correlation study on resist outgassing between EUV and e-beam irradiation

Yukiko Kikuchi; Kazuhiro Katayama; Isamu Takagi; Norihiko Sugie; Toshiya Takahashi; Eishi Shiobara; Hiroyuki Tanaka; Soichi Inoue; Takeo Watanabe; Tetsuo Harada; Hiroo Kinoshita

The carbon contamination growth (CG) on the witness samples by resist outgassing during exposure were evaluated for the model EUV resist samples having different protecting groups for chemical amplification. Four kinds of different protecting groups were chosen to compare the effects of difference in activation energy for de-protection, the molecular size and polarity of de-protected unit on CG. The residual gas analysis (RGA) measurements were also performed for all samples. Those results were compared between EUV irradiation and e-beam irradiation. On the contrary to the original expectation, it was found that the dependence of the activation energy on CG was small. From the results of RGA, it was confirmed that the size of the protecting group does not also simply correlate with the outgassing amount or CG. In the sample with relatively bigger protecting group we found larger outgassing amount than that with smaller protecting group. The smallest outgassing amount and CG were given by the sample which has the polar de-protecting unit. It is indicating that if there is the interaction between the outgassing molecules and the resist film components, the escaping of the molecules from the resist film out to the vacuum is restricted, resulting in the small outgassing and small CG. All of those features were same in EUV and e-beam irradiation.


Proceedings of SPIE | 2014

Evaluations of negative tone development resist and process for EUV lithography

Toshiya Takahashi; Noriaki Fujitani; Toshiro Itani

Resists, underlayers, and new rinse processes were evaluated for negative tone development (NTD) using extreme ultraviolet (EUV) lithography. The most recently developed resists show resolution and sensitivity improvements. High remaining-film thickness was also achieved for better etching resistance. The underlayers smoothed the line width roughness (LWR) and prevented pattern collapse. In addition, the proposed NTD-compatible rinse process further assisted to prevent pattern collapse. The best NTD performance at EIDEC till date was achieved: 22 nm line and space (L/S) resolution, 5.4 nm LWR, and 16.8 mJ/cm2 sensitivity with annular illumination for a small-field exposure tool (SFET). Furthermore, an ultimate resolution of 17 nm L/S was achieved with x-dipole illumination of SFET. The lithographic performance of the best NTD resist is comparable to the typical positive tone development resist.


Archive | 2010

Actinic ray-sensitive or radiation-sensitive resin composition, and resist film and pattern forming method using the composition

Tomotaka Tsuchimura; Hideaki Tsubaki; Toshiya Takahashi

Collaboration


Dive into the Toshiya Takahashi's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge