Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tsuneyuki Haga is active.

Publication


Featured researches published by Tsuneyuki Haga.


Journal of Vacuum Science & Technology B | 2000

At-wavelength extreme ultraviolet lithography mask inspection using a Mirau interferometric microscope

Tsuneyuki Haga; Hisataka Takenaka; Makoto Fukuda

We have developed a novel at-wavelength inspection system for extreme ultraviolet lithography (EUVL) masks that employs an EUV interferometric microscope. The main advantage of this system is the ability to observe a mask directly and detect defects as they are, thus allowing phase defects to be detected even in a finished mask with the absorber. Moreover, this system provides the high spatial resolution of an EUV microscope, the high phase resolution of interferometric measurements, and a high throughput. We investigated the defect detection capability using several types of EUVL masks with programmed defects, and found that programmed phase defects with steps as low as 5 nm can be detected. These results demonstrate the capabilities of a Mirau interferometric microscope for at-wavelength EUVL mask inspection. Considering the diffraction-limited resolution of Schwarzschild optics (∼50 nm), this system should be applicable to the subhundred nanometer technology node.


Journal of Vacuum Science & Technology B | 2004

Actinic mask metrology for extreme ultraviolet lithography

Hiroo Kinoshita; Tsuneyuki Haga; Kazuhiro Hamamoto; Shintaro Takada; Naoki Kazui; Satoshi Kakunai; Harushige Tsubakino; Tsutomu Shoki; M. Endo; Takeo Watanabe

A new actinic mask inspection system has been developed, and simulations were carried out on its imaging performance. Preliminary experiments using the extreme ultraviolet (EUV) microscope developed at NTT have shown that it can resolve 250- and 350-nm-wide lines (on a mask). Furthermore, the type of absorber material used in a mask was found to have some effect on the contrast of mask images taken by EUVM. Our results demonstrate that an at-wavelength microscope is a powerful and useful tool for evaluating mask fabrication processes for EUVL.


Japanese Journal of Applied Physics | 2003

Evaluation of Finished Extreme Ultraviolet Lithography (EUVL) Masks Using a EUV Microscope

Tsuneyuki Haga; Hiroo Kinoshita; Kazuhiro Hamamoto; Shintaro Takada; Naoki Kazui; Satoshi Kakunai; Harushige Tsubakino; Takeo Watanabe

Low-defect-density mask blanks are one of the key technological requirements for successful extreme ultraviolet lithography (EUVL). We have developed an EUVL experimental exposure system and evaluated the characteristics of the mask in the exposure area of 10 mm×2 mm. These imaging patterns are replicated as a result of the total performance of imaging optics aberration, resist process, and illumination condition. Furthermore, as the feature size continues become smaller, close to 50 nm or 35 nm nodes, it is becoming more difficult to determine the quality of the mask only from the replicated results. Therefore, we have proposed an at-wavelength mask inspection system based on the EUV microscope, which is the best way to observe the mask directly. Meanwhile, an EUV Mirau interferometric microscope has been developed at NTT, which has the advantage of direct observation of a finished mask at the wavelength of 13 nm. Using this system, preliminary experiments to examine the resolution of the EUV microscope were carried out. Until now, the Cr absorber pattern of a 250 nm line width corresponding to 50 nm on a wafer and 750 nm hole patterns on a mask could be clearly observed. Furthermore, as an example of defect observation, this system could find mask defects, which are due to insufficient etching of the buffer layer in mask fabrication. We confirmed the EUV microscope is a useful tool for evaluating a finished EUVL mask.


Japanese Journal of Applied Physics | 1995

Evaluation of Large-Area Mo/Si Multilayer Soft X-Ray Mirrors Fabricated by RF Magnetron Sputtering

Hisataka Takenaka; Tomoaki Kawamura; Tsuneyuki Haga; Hiroo Kinoshita; Yoshikazu Ishii

It was determined by calculation that the soft X-ray reflectivities of Tc/Si, Mo/Si, Nb/Si, and Ru/Si multilayers are highest at 13 nm wavelength. Six-inch-diameter Mo/Si multilayers, selected from among these multilayers, were fabricated by an rf magnetron sputtering system. Their periodic length uniformity was good (better than +/-1% deviation over the entire surface area) and the layer interfaces were fairly well defined. Reflectivity of 63% at around 13.7 nm wavelength was achieved at 3-degrees from normal incidence. Large-area high-quality multilayer mirrors for soft X-ray projection lithography can thus be fabricated using this rf magnetron sputtering system.


Journal of Synchrotron Radiation | 1998

Design and fabrication of highly heat-resistant Mo/Si multilayer soft X-ray mirrors with interleaved barrier layers

Hisataka Takenaka; Hisashi Ito; Tsuneyuki Haga; Tomoaki Kawamura

Introducing interleaved carbon barrier layers improves the heat-resistance of Mo/Si multilayers. The soft X-ray reflectivities of the multilayers were calculated, and the effects of heating on both the reflectivities and layer structures of Mo/Si multilayers with and without barrier layers were investigated using X-ray diffraction and transmission electron microscopy. The results show that, for applications using intense soft X-ray beams, Mo/Si multilayers with interleaved carbon barrier layers are better mirrors than Mo/Si multilayers because they have much better heat resistance and almost the same soft X-ray reflectivity as the Mo/Si multilayers.


Journal of Electron Spectroscopy and Related Phenomena | 1996

Multilayer sputter deposition stress control

Marcia C. K. Tinone; Tsuneyuki Haga; Hiroo Kinoshita

Abstract The dependence of the intrinsic stress of sputter deposited thin films on the power applied to the RF magnetron plasma was investigated. Molybdenun films show tensile stress while silicon films show compressive stress using RF power between 100- and 500-W. The multilayer stress depends strongly on the RF power applied. Low stress multilayer films were produced by varying the relative thickness of each layer and by varying the RF power applied during deposition. Using this approach 10-mm square free-standing semitransparent multilayer films with good flatness and small roughness were fabricated.


Journal of Synchrotron Radiation | 1998

Soft X-ray multilayer beam splitters

Tsuneyuki Haga; Marcia C. K. Tinone; Masaru Shimada; Takashi Ohkubo; A. Ozawa

A semitransparent Mo/Si multilayer beam splitter with a completely self-standing active area (10 x 10 mm) and a flatness of 1.1 nm (r.m.s.) was fabricated. The influence of the roughness of the membrane substrate on the reflectivity of a beam splitter was investigated for different materials and deposition schemes. Precise control of multilayer stress to give a slightly tensile state not only enables the fabrication of a large and flat reflection surface, but also makes it possible to etch away the supporting membrane and obtain a completely self-standing structure. The performance evaluation using synchrotron radiation revealed that the fabricated beam splitter works as a one-to-one beam splitter whose reflectivity and transmittance are both 27% (s-polarization, 45 degrees, lambda = 13.4 nm).


Applied Optics | 1993

Large-area, high-resolution pattern replication by the use of a two-aspherical-mirror system.

Hiroo Kinoshita; K. Kurihara; T. Mizota; Tsuneyuki Haga; Hisataka Takenaka; Yoshio Torii

A soft-x-ray projection lithography system is developed by the use of multilayer mirrors. To determine the feasibility of a high throughput and a large exposure area, we developed a reduction system that consists of two-aspherical-mirror optics. The figure errors of aspherical mirrors are evaluated by a laser interferometer. The rms aspherical figure errors of concave and convex mirrors are 8.8 and 2.0 nm, respectively, which are not enough to yield a resolution of 0.1 µm. The reduction optics is constructed by adjusting the mirror position to compensate for aberrations, and some trial replications are performed. An exposure area of larger than 10 mm × 0.6 mm with a fine pattern of less than a quarter micrometer is achieved.


Journal of Vacuum Science & Technology B | 1999

Magnification correction by changing wafer temperature in proximity x-ray lithography

Hajime Aoyama; Souichirou Mitsui; Takao Taguchi; Yuusuke Tanaka; Yasuji Matsui; Makoto Fukuda; Masanori Suzuki; Tsuneyuki Haga; Hirofumi Morita

Magnification errors arising from wafer processing cause significant overlay errors in lithography. We have devised a new, simple method that involves changing the wafer temperature to correct magnification errors on an x-ray stepper. A wafer is first heated in the wafer orientation unit, and then it is allowed to cool as it is transferred to the wafer stage due to the temperature difference between the wafer and the air in the chamber. For a given cooling time, the reproducibility of the wafer temperature is less than 0.3 °C; and the maximum available temperature change is 1.5 °C. The key point is that the placement of patterns on a wafer immediately after the chucking remains fixed regardless of further changes in the wafer temperature, provided that the force holding the wafer to the stage is strong enough. The maximum magnification error that can be corrected by this method is about 4 ppm. This is determined by the maximum available temperature change (1.5 °C) and the coefficient of linear expansion f...


Journal of Synchrotron Radiation | 1998

Design of beamline optics for EUVL.

Takeo Watanabe; Tsuneyuki Haga; Masahito Niibe; Hiroo Kinoshita

The design of front-end collimating optics for extreme-ultraviolet lithography (EUVL) is reported. For EUVL, collimating optics consisting of a concave toroidal mirror and a convex toroidal mirror can achieve shorter optical path lengths than collimating optics consisting of two concave toroidal mirrors. Collimating optics consisting of a concave toroidal mirror and a convex toroidal mirror are discussed. The design of collimating optics for EUVL beamlines based on ray-tracing studies is described.

Collaboration


Dive into the Tsuneyuki Haga's collaboration.

Top Co-Authors

Avatar

Tsutomu Horiuchi

Atomic Energy of Canada Limited

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge