Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tsutomu Nagayama is active.

Publication


Featured researches published by Tsutomu Nagayama.


ION IMPLANTATION TECHNOLOGY: 16th International Conference on Ion Implantation Technology - IIT 2006 | 2006

Implantation characteristics by boron cluster ion implantation

Tsutomu Nagayama; Nariaki Hamamoto; Sei Umisedo; Masayasu Tanjyo; Takayuki Aoyama

Recently, boron cluster implantation (i.e. decaborane: B10Hx+) is regarded as a promising technology for the formation of P‐type Ultra Shallow Junction (USJ) because of the equivalent high beam current with less beam divergence compared to the conventional B+ or BF2+ implantation. Also as‐implanted and after‐annealing characteristics are different due to the appearance of self‐amorphized layer by the cluster ion bombardment, which suppresses the channeling and enhances the boron activation. However, it is anticipated that the properties caused by this amorphous layer will vary with different implantation conditions or a presence of Pre Amorphization Implantation (PAI) process, which should be understood well to maintain a good process control. From this point of view, we have measured the decaborane implantation characteristics by a couple of different related conditions, for instance, the beam energy and current. Sheet resistance vs junction depth (Rs‐Xj) are also evaluated in different annealing methods...


international workshop on junction technology | 2007

Molecular Dopants and High Mass Dopants for HALO and Extension Implantation

Akira Mineji; John O. Borland; Seiichi Shishiguchi; Masami Hane; Masayasu Tanjyo; Tsutomu Nagayama

Diffusion-less activation were realized for the 650degC SPE, >1300degC flash and 900degC spike anneals. For pSDE all boron dopant species (BF<sub>2</sub>, B<sub>10</sub>H<sub>14</sub> and B<sub>18</sub>H<sub>22</sub>) achieved high quality junctions with flash annealing. With 900degC spike either B<sub>10</sub>H<sub>14</sub> or B<sub>18</sub>H<sub>22</sub> can be used while with 650degC SPE annealing only B<sub>18</sub>H<sub>22</sub> can be used. For pHALO using In no difference in activation level could be seen but significant differences in junction leakage was observed. No difference between BF<sub>2</sub> and B<sub>10</sub>H<sub>14</sub> HALO activation could be seen for each annealing condition and SPE annealing resulted in the worse activation level. For nSDE with flash annealing As, As<sub>2</sub> and Sb activation levels were similar while P<sub>2</sub> was 25% better. With SPE and 900degC spike Sb gave the best activation. For nHALO As, As<sub>2</sub> and Sb activation levels were similar for all annealing conditions with SPE resulting in 2x lower activation. Doubling the As<sub>2</sub> dose improved SPE activation by 2x.


international workshop on junction technology | 2005

Decaborane ion implantation for sub-40-nm gate-length PMOSFETs to enable formation of steep ultra-shallow junction and small threshold voltage fluctuation

Takayuki Aoyama; M. Fukuda; Yasuo Nara; Sei Umisedo; Nariaki Hamamoto; M. Tanjo; Tsutomu Nagayama

In this paper, the decaborane molecular ion implantation for formation of an ultra-shallow junction of sub-40-nm PMOSFETs is investigated, and its high-performance are demonstrated. B/sub 10/H/sub x//sup +/ implantation can form a shallow and steep USJ with low resistivity and can precisely control the beam without blow-up and energy contamination, compared with the B/sup +/ monomer implantation. PMOSFETs using B/sub 10/H/sub x//sup +/ implantation for source/drain extensions achieve 6-nm shorter Vth roll-off characteristic without degradation of I/sub on/-I/sub off/ characteristic. Therefore, CV/I values can be improved by over 10%. In addition, the precisely controllable and well-collimated beam results occur alongside the Vth fluctuation suppression. The average improvement of Vth fluctuations among extensive gate length (35 to 200 nm) is 14%.


international workshop on junction technology | 2011

Cluster carbon implants — Cluster size and implant temperature effect

Karuppanan Sekar; Wade Krull; Michael I. Current; Hiroshi Onoda; Yoshiki Nakashima; Nariaki Hamamoto; Tsutomu Nagayama

In this paper we present results for amorphous layer thickness and interface roughness for various cluster carbon ions as well as monomer carbon implants for various doses implanted at different implant temperatures. The effect of cluster size, implant dose, implant dose rate and wafer implant temperatures are discussed based on Spectroscopic Ellipsometry, TEM and RBS/channeling techniques.


international workshop on junction technology | 2016

10 nm-deep n+/p and p+/n Ge junctions with high activation formed by ion implantation and Flash Lamp Annealing (FLA)

Hideaki Tanimura; Hikaru Kawarazaki; Kazuhiko Fuse; M. Abe; Takahiro Yamada; Y. Ono; M. Furukawa; A. Ueda; Y. Ito; Takayuki Aoyama; Shinichi Kato; Ippei Kobayashi; Hiroshi Onoda; Yoshiki Nakashima; Tsutomu Nagayama; Nariaki Hamamoto; Shigeki Sakai

In this paper, we report on the formation of shallow junctions with high activation in both n+/p and p+/n Ge junctions using ion implantation and Flash Lamp Annealing (FLA). The shallowest junction depths formed for the n+/p and p+/n junctions were 9.5 nm and 10.7 nm with sheet resistances of 620 ohms/sq. and 414 ohms/sq., respectively. Additionally, by reducing knocked-on oxygen during ion implantation, the sheet resistance was decreased by 5 to 15%. The lowest sheet resistance was 235 ohms/sq. with a junction depth of 21.5 nm in the n+/p Ge. These results indicate that the potential for forming ultra-shallow n+/p and p+/n junctions in the nanometer range in Ge devices using FLA is very high, leading to realistic monolithically-integrated Ge CMOS devices.


international workshop on junction technology | 2013

High dose dopant implantation to heated Si substrate without amorphous layer formation

Hiroshi Onoda; Yoshiki Nakashima; Tsutomu Nagayama; Shigeki Sakai

Enhancement of transistor drivability with suppressing short channel effect is a mandatory requirement for device scaling. In order to address the requirement, transistor structure transition from 2D bulk planar to SOI or 3D FinFET structures is now proceeding[1-3]. In FinFET structures, high dose tilt implantations are used in source drain extension formation. This implantations cause amorphization of Si fins, and there exists an issue here for difficulty in regrowth of amorphized Si fins during successive activation annealing. For further scaling, fin width becomes narrower, and regrowth from crystal channel also cannot be much expected. Amorphized Si fin cannot be easily regrown to Si fin top during activation annealing, resulting in twin formation and/or poly crystal[4] as shown in the schematic figure (Fig.1). In addition, memory devices also have almost the same transistor structure. Shrinking active Si areas in transistors of flash memory embedded in surrounding STI oxide is similar structure as tall Si fin in FinFET structures. Doping with ion implantation causes narrow active Si areas amorphous, and regrowth to the active Si top is also becoming difficult. Doping without Si amorphization is a challenge for further scaling of transistors both in logic devices and memory devices. This paper reports high dose doping by using implantation to heated Si substrates. Crystalline quality, depth profiles and resistance of As+, P+ and BF2+ implanted Si at elevated temperatures have been investigated. It will be shown that high dose doping without amorphization, and also low resistance of implanted regions after annealing can be successfully embodied.


international workshop on junction technology | 2010

Suppression of phosphorus diffusion using cluster Carbon co-implantation

Tsutomu Nagayama; Hiroshi Onoda; Masayasu Tanjyo; Nariaki Hamamoto; Sei Umisedo; Yuji Koga; Noriaki Maehara; Yasunori Kawamura; Yoshiki Nakashima; Yoshikazu Hashino; Masahiro Hashimoto; Hideki Yoshimi; Shinichi Sezaki; Nobuo Nagai

Phosphorus transient enhanced diffusion (TED) is caused by interstitial diffusion mechanism. It is important for the efficient suppression of phosphorus diffusion that some carbons could be located on lattice point in the initial stage of re-growth during annealing and trap interstitial Silicon. Carbon co-implantation after Germanium, pre-amorphization implantation (PAI) is applied for the applications of n+/p junction formation and the effects of Carbon co-implantation are reported. In our experiments it is shown that suppression of Phosphorus diffusion could be achieved with conventional rapid thermal annealing (RTA) by using cluster Carbon (C16Hx+, C7Hx+) co-implantation for the self-amrphization. Our experimental data suggests that cluster carbon co-implantation enable to suppress phosphorus diffusion without germanium pre-amorphous implantation. In this paper the characteristics of cluster Carbon co-implantation after RTA are introduced from experimental results which were obtained by secondary ion mass spectroscopy (SIMS) measurement, transmission electron microscopy (TEM) and sheet-resistance measurement.


international workshop on junction technology | 2010

Carrier activation in cluster boron implanted Si

Hiroshi Onoda; Nariaki Hamamoto; Tsutomu Nagayama; Masayasu Tanjyo; Sei Umisedo; Noriaki Maehara; Yasunori Kawamura; Yoshiki Nakashima; Masahiro Hashimoto; Hideki Yoshimi; Shinichi Sezaki; K. Kawakami; Jason Reyes; S. Prussin

Boron retained dose and carrier activation after spike RTA in Cluster B<inf>18</inf><sup>+</sup> (Octadecaborane : B<inf>18</inf>H<inf>11</inf><sup>+</sup>) implanted Si have been investigated comparing with BF<inf>2</inf> beamline implanted Si. The retained dose estimated by SIMS depth profile integration is higher in B<inf>18</inf> samples. In the same implant set dose, carrier concentrations in B<inf>18</inf> samples show almost twice compared with BF<inf>2</inf> samples although mobilities are almost the same in both samples. This means that activation ratio of B<inf>18</inf> sample is much higher compared with that of BF<inf>2</inf> sample. This is one of the advantages of cluster ion implantation.


international conference on advanced thermal processing of semiconductors | 2009

22nm node p+ junction scaling using B 36 H 44 and laser annealing with or W/O PAI

John Borland; Masayasu Tanjyo; Nariaki Hamamoto; Tsutomu Nagayama; Shankar Muthukrishnan; Jeremy Zelenko; Iad Mirshad; Walt Johnson; Temel Buyuklimanli

B<inf>36</inf>H<inf>44</inf> molecular dopants were implanted at 100eV and 1E15/cm<sup>2</sup> B equivalent energy and dose to achieve Xj<7nm and selected wafers also had various PAI (pre-amorphizing implantation) using Ge 10keV, Xe 14keV and In 14keV to create an amorphous layer 16–17nm deep. All the wafers were MSA (msec annealed) by DSA laser at 1175°C, 1225°C, 1275°C and 1325°C and the results show that the Rs and Bss values for B<inf>36</inf>H<inf>44</inf> without PAI was always better than those reported using monomer B and BF<inf>2</inf> with MSA even though the retained dose was only 67% compared to 100% for monomer B and 55% for BF<inf>2</inf> and we noted that the surface oxide directly affects the retained dose. Adding Ge or In PAI had no effect on dopant activation due to the self-amorphization effects of B<inf>36</inf>H<inf>44</inf> however, Xe-PAI improved activation by 20% but degraded junction leakage. In-PAI also had the highest lifetime. However, we noted that Xe-PAI behaves differently compared to Ge-PAI and In-PAI, TW values were always much higher and independent of the anneal technique (MSA, spike/RTA or furnace anneal) even though no defects could be detected by X-TEM suggesting uniform distribution of vacancy cluster defects throughout the amorphous region.


ISTC/CSTIC 2009 (CISTC) | 2009

Cluster Ion Implantation System: Claris for Beyond 45nm Device Fabrication (Ii)

Masayasu Tanjyo; Nariaki Hamamoto; Tsutomu Nagayama; Sei Umisedo; Yuji Koga; Noriaki Maehara; Hideyasu Une; Takao Matsumoto; Nobuo Nagai; John Borland

Newly developed sweep beam Cluster ion implanter: CLARIS with 0.2-7keV energy range for Boron beam and 1-10keV energy range for Carbon beam is introduced. Novel Cluster ion implantation technology is capable for 45nm beyond device requiring USJ formation ( 70%) and low sheet resistivity (<1200Ω/sq). Comparison of retain dose and sheet resistivity of B18, BF2, and B beams with FLA shows the superiority of the B18 implantation for less than 500eV implantation.

Collaboration


Dive into the Tsutomu Nagayama's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Shigeki Sakai

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge