Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tsuyoshi Iwagaki is active.

Publication


Featured researches published by Tsuyoshi Iwagaki.


IEEE Transactions on Emerging Topics in Computing | 2016

Compact and Accurate Digital Filters Based on Stochastic Computing

Hideyuki Ichihara; Tatsuyoshi Sugino; Syota Ishii; Tsuyoshi Iwagaki; Tomoo Inoue

Stochastic computing (SC), which is an approximate computation with probabilities, has attracted attention as an alternative to deterministic computing. In this paper, we discuss a design method for compact and accurate digital filters based on SC. Such filter designs are widely used for various purposes, such as image and signal processing and machine learning. Our design method involves two techniques. One is sharing random number sources with several stochastic number generators to reduce the areas required by these generators. Clarifying the influence of the correlation around multiplexers (MUXs) on computation accuracy and utilizing circular shifts of the output of random number sources, we can reduce the number of random number sources for a digital filter without losing accuracy. The other technique is to construct a MUX tree, which is the principal part of an SC-based filter. We formulate the correlation-induced errors produced by the MUX tree, and then propose an algorithm for constructing an optimum MUX tree to minimize the error. Experimental results show that the proposed design method can derive compact (approximately 70 percent area reduction) SC-based filters that retain high accuracy.


IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences | 2008

Novel Register Sharing in Datapath for Structural Robustness against Delay Variation

Katsushi Inoue; Mineo Kaneko; Tsuyoshi Iwagaki

As the feature size of VLSI becomes smaller, delay variations become a serious problem in VLSI. In this paper, we propose a novel class of robustness for a datapath against delay variations, which is named structural robustness against delay variation (SRV), and propose sufficient conditions for a datapath to have SRV. A resultant circuit designed under these conditions has a larger timing margin to delay variations than previous designs without sacrificing effective computation time. In addition, under any degree of delay variations, we can always find an available clock frequency for a datapath having SRV property to operate correctly, which could be a preferable characteristic in IP-based design.


IEICE Transactions on Information and Systems | 2006

A Low Power Deterministic Test Using Scan Chain Disable Technique

Zhiqiang You; Tsuyoshi Iwagaki; Michiko Inoue; Hideo Fujiwara

This paper proposes a low power scan test scheme and formulates a problem based on this scheme. In this scheme the flip--flops are grouped into N scan chains. At any time, only one scan chain is active during scan test. Therefore, both average power and peak power are reduced compared with conventional full scan test methodology. This paper also proposes a tabu search--based approach to minimize test application time. In this approach we handle the information during deterministic test efficiently. Experimental results demonstrate that this approach drastically reduces both average power and peak power dissipation at a little longer test application time on various benchmark circuits.


international conference on computer design | 2008

Safe clocking register assignment in datapath synthesis

Keisuke Inoue; Mineo Kaneko; Tsuyoshi Iwagaki

For recent and future nanometer-technology VLSIs, static and dynamic delay variations become a serious problem. In many cases, the hold constraint, as well as the setup constraint, becomes critical for latching a correct signal under delay variations. While the timing violation due to the fail of the setup constraint can be fixed by tuning a clock frequency or using a delayed latch, the timing violation due to the fail of the hold constraint cannot be fixed by those methods in general. Our approach to delay variations (in particular, the hold constraint) proposed in this paper is a novel register assignment strategy in high-level synthesis, which guarantees safe clocking by contra-data-direction (CDD) clocking. After the formulation of this new register assignment problem, we prove NP-hardness of the problem, and then derive an integer linear programming formulation for the problem. The proposed method receives a scheduled data flow graph, and generates a datapath having (1) robustness against delay variations, which is ensured by CDD-based register assignment, and (2) the minimum possible number of registers. Experimental results show the effectiveness of the proposed method for some benchmark circuits.


european test symposium | 2005

Acceleration of transition test generation for acyclic sequential circuits utilizing constrained combinational stuck-at test generation

Tsuyoshi Iwagaki; Satoshi Ohtake; Hideo Fujiwara

This paper presents a transition test generation method for acyclic sequential circuits. In this method, to generate test sequences for transition faults in a given acyclic sequential circuit, constrained combinational stuck-at test generation is performed on its double time-expansion model that is composed of two copies of a time-expansion model of the given circuit. This method is complete, i.e., this method can generate test sequences for all the testable transition faults and can identify all the untestable transition faults in a given acyclic sequential circuit. Experimental results show that our method can achieve higher fault efficiency with drastically shorter test generation time than that achieved by a conventional method.


2009 10th Latin American Test Workshop | 2009

On the derivation of a minimum test set in high quality transition testing

Tsuyoshi Iwagaki; Mineo Kaneko

This paper discusses a test generation method to derive high quality transition tests for combinational circuits. It is known that, for a transition fault, a test set which propagates the errors (late transitions) to all the primary outputs reachable from the fault site can enhance the detectability of unmodeled defects. In this paper, to generate a minimum test set that meets the above property, the test generation problem is formulated as a problem of integer linear programming. The proposed formulation guarantees that minimum two-pattern tests for a transition fault are generated so that the errors will be observed at all the primary outputs reachable from the fault site. A case study using a benchmark circuit is presented to show the feasibility of the proposed method.


IEEE Transactions on Very Large Scale Integration Systems | 2006

A New Test Generation Model for Broadside Transition Testing of Partial Scan Circuits

Tsuyoshi Iwagaki; Satoshi Ohtake; Hideo Fujiwara

This paper proposes a new test generation model for broadside transition testing of partial scan circuits. In the proposed scheme, given a partial scan circuit whose kernel circuit is acyclic, the kernel circuit is transformed into some combinational circuits which are called broadside test generation models. These circuits are constructed by using a time-expansion model of the kernel circuit. All the broadside transition tests are generated by performing constrained stuck-at test generation on the transformed circuits. This means that, without developing a special test generation tool, existing combinational stuck-at test generation tools can be used to generate broadside transition tests for partial scan circuits. Experimental results show that the proposed scheme can reduce area overhead compared with the fully enhanced scan and full scan methods, and can generate broadside transition tests in reasonable test generation time


great lakes symposium on vlsi | 2009

Safe clocking for the setup and hold timing constraints in datapath synthesis

Keisuke Inoue; Mineo Kaneko; Tsuyoshi Iwagaki

The setup and hold timing constraints are two types of timing constraints, which should be kept by each operation, and they may be violated by the timing variation of control signals. In this paper, we show that we can solve such potential timing violations in high-level synthesis without degrading speed performance, but by devising register assignment and clocking scheme. That is, we will combine Backward-Data-Direction (BDD) clocking, Forward-Data-Direction (FDD) clocking, and Structural Robustness against delay Variation (SRV)-based register assignment to solve potential timing violations. First, we formulate the problem as a minimum register assignment problem for datapaths which has a proper ordered clocking. After that, we propose an integer linear programming (ILP) formulation and show the experimental results for some benchmark circuits.


european test symposium | 2004

A design methodology to realize delay testable controllers using state transition information

Tsuyoshi Iwagaki; Satoshi Ohtake; Hideo Fujiwara

This paper proposes a non-scan design scheme to enhance delay fault testability of controllers. In this scheme, we utilize a given state transition graph (STG) to test delay faults in its synthesized controller. The original behavior of the STG is used during test application. For faults that cannot be detected by using the original behavior, we design an extra logic, called an invalid test state and transition generator, to make those faults detectable. Our scheme allows achieving short test application time and at-speed testing. We show the effectiveness of our method by experiments.


design and diagnostics of electronic circuits and systems | 2011

Indirect detection of clock skew induced hold-time violations on functional paths using scan shift operations

Tsuyoshi Iwagaki; Kewal K. Saluja

Hold-time violations in a scan circuit may occur both in the scan chain and in its combinational logic part. If a hold-time violation occurs on the scan path from one scan cell to another, it is also likely to happen on short functional paths between the two cells, which have clock skew. This paper is intended to indirectly detect hold-time violations on short functional paths using scan shift operations. A greedy approach to scan chain ordering is presented to detect as many hold-time violations as possible using scan shift operations. Extensive experiments are conducted to detect hold-time violations on short functional paths of various lengths by scan shift operations. Experimental results show that many hold-time violations on short functional paths can be detected by choosing an appropriate order of the scan cells in the scan chain.

Collaboration


Dive into the Tsuyoshi Iwagaki's collaboration.

Top Co-Authors

Avatar

Mineo Kaneko

Japan Advanced Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Satoshi Ohtake

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Keisuke Inoue

Japan Advanced Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Michiko Inoue

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Tomoo Inoue

Hiroshima City University

View shared research outputs
Top Co-Authors

Avatar

Eiri Takeda

Japan Advanced Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Katsushi Inoue

Japan Advanced Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Kazuko Kambe

Nara Institute of Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge