Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tuwon Chang is active.

Publication


Featured researches published by Tuwon Chang.


Proceedings of SPIE | 2008

Novel spin-on organic hardmask with high plasma etch resistance

Changil Oh; Jin-Kuk Lee; Min-Soo Kim; Kyong-Ho Yoon; Hwan-Sung Cheon; Nataliya Tokareva; Jee-Yun Song; Jong-Seob Kim; Tuwon Chang

In recent years for memory devices under 70nm using ArF lithography, spin-on organic hardmask has become an attractive alternative process to amorphous carbon layer hardmark (ACL) in mass production due to ACL hardmasks limited capacity, high cost-of-ownership, and low process efficiency in spite of its excellent etch performance. However, insufficient plasma etch resistance of spin-on hardmask makes the etch process an issue resulting in inadequate vertical profiles, large CD bias, and narrow etch process window compared to ACL hardmask. In order to be able to apply these spin on hardmasks to varies layers including critical layers, the aforementioned problems need to be resolved and verified using several evaluation methods including etch pattern evaluation. In this paper, we report the synthesis of novel organic spin-on hardmasks (C-SOH) that incorporate various fused aromatic moieties into polymer chain and the evaluation of etch performance using dry etch tools. Organic spin-on hardmasks with 79-90 wt% carbon contents were synthesized in-house. Oxygen and fluorine based plasma etch processes were used to evaluate the etch resistance of the C-SOH. The results show our 3rd generation C-SOH has etch profiles comparable to that of ACL in a 1:1 dense pattern.


Proceedings of SPIE | 2007

Spin-on organic hardmask materials in 70nm devices

Changil Oh; Dong-Seon Uh; Do-Hyeon Kim; Jin-Kuk Lee; Hui-Chan Yun; Irina Nam; Min-Soo Kim; Kyong-Ho Yoon; Kyung-Hee Hyung; Nataliya Tokareva; Hwan-Sung Cheon; Jong-Seob Kim; Tuwon Chang

In ArF lithography for < 90nm L/S, amorphous carbon layer (ACL) deposition becomes inevitable process because thin ArF resist itself can not provide suitable etch selectivity to sub-layers. One of the problems of ACL hardmask is surface particles which are more problematic in mass production. Limited capacity, high cost-of-ownership, and low process efficiency also make ACL hardmask a dilemma which can not be ignored by device makers. One of the answers to these problems is using a spin-on organic hardmask material instead of ACL hardmask. Therefore, several processes including bi-layer resist process (BLR), tri-layer resist process (TLR), and multi-layer resist process (MLR) have been investigated. In this paper, we have described spin-on organic hardmask materials applicable to 70nm memory devices. Applications to tri-layer resist process (TLR) were investigated in terms of photo property, etch property and process compatibility. Based on the test results described in this paper, our spin-on hardmask materials are expected to be used in mass production.


Proceedings of SPIE | 2007

Silicon-based anti-reflective spin-on hardmask materials with improved storage stability for 193-nm lithography

Sang-Kyun Kim; Sang Hak Lim; Do-Hyeon Kim; Sang Ran Koh; Miyoung Kim; Hui Chan Yoon; Dong Seon Uh; Jong Seob Kim; Tuwon Chang

As the feature sizes of integrated circuits shrink, thinner photoresist coating should be used in order to avoid high aspect ratio which can cause pattern collapse. Especially for 193 nm lithography, photoresist coating is too thin to subsequent etching step. One of the solutions to this problem is using hardmasks which have good etch selectivity to adjacent layers. In this paper, silicon-based anti-reflective spin-on hardmasks (Si-SOH) are described. One of the major problems of silicon based polymers in the hardmask compositions is poor storage stability because silanol group is reactive enough to condense each other, which can instigate molecular weight increase to yield gel-type particles. The storage stability of our hardmask materials have been improved by thermodynamically controlled synthesis and reactive mask strategy. Especially the reactive masked silanol groups can take part in crosslinking reaction under the process conditions without additional deprotection step. Although this strategy could encounter intermixing problems with other layers, we can produce silicon-based hardmasks without any deleterious effects. These hardmasks show antireflective properties and great etch selectivity to both photoresists and organic hardmasks (C-SOH).


Proceedings of SPIE | 2010

Novel topcoat materials with improved receding angles and dissolution properties for ArF immersion lithography

Sang Geun Yun; Jin Young Lee; Young Yang; Seung Wook Shin; Sung-Jae Lee; Hyo Young Kwon; Youn Jin Cho; Seung Jib Choi; Sang Jun Choi; Jong Seob Kim; Tuwon Chang

A topcoat material plays a significant role in achieving technology nodes below 45 nm via ArF immersion lithography. Switching the exposure medium between the lens and the photoresist (PR) film from gas (air, n=1) to liquid (H2O, n=1.44) may lead to leaching of the polymer, the photoacid generator (PAG), or the solvent. These substances can contaminate the lens or cause bubbles, which can lead to defects during the patterning. Previously reported topcoat materials mainly use hydrophobic fluoro-compounds and carboxylic acids to provide high dissolution rates (DR) to basic developers as well as high receding contact angles (RCA). Recently, the demand for a new top-coat material has risen since current materials cause water-mark defects and decreases in scan speeds, due to insufficient RCAs. However, RCA and DR are in a trade-off relationship as an increase in RCA generally results in a lower DR. To overcome this, a novel polymer with high-fluorine content was synthesized to produce a topcoat material with improved DR (120 nm/s in 2.38 wt% TMAH) and RCA (>70°). In addition, a strategy to control the pattern profile according to needs of customers was found.


Proceedings of SPIE | 2009

Organic underlayer materials with exceptionally high thermal stability

Hwan-Sung Cheon; Kyong-Ho Yoon; Min-Soo Kim; Seung Bae Oh; Jee Yun Song; Nataliya Tokareva; Jong Seob Kim; Tuwon Chang

Multilayer hardmask (MLHM) schemes have been implemented as an indispensable process for ArF lithography which continues to demand thinner photoresist films. There are many variations of MLHM and semiconductor manufacturers choose to adopt their own designs, depending on their specific needs and technical advances. The quad-layer stack consisting of photoresist, organic ARC, CVD Si hardmask, and spin-on carbon underlayer is one of them. Despite the need for wafer transporting between the spin track and CVD equipment, this scheme is attractive because it can avoid laborious elaboration of sophisticated etching chemistries for spin-on Si-ARC and carbon underlayer. One of the issues arising from the mixed film forming process is the thermal stability of carbon underlayer at high temperatures during the CVD process of the Si hardmask. Organic underlayer which shows high thermal stability is crucial for this mixed hardmask process. These types of thermally stable organic film can also be used for other applications such as the spacer patterning technique for pitch size shrinkage. In this paper, we discuss the development of organic resins with high thermal stability, their physical properties, and their lithographic behaviors in the MLHM schemes.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Development of Spin-on Carbon Hardmasks with Comparable Etch Resistance to Amorphous Carbon Layer (ACL)

Hwan-Sung Cheon; Kyong-Ho Yoon; Min-Soo Kim; Seung Bae Oh; Jee Yun Song; Nataliya Tokareva; Jong Seob Kim; Tuwon Chang

In recent microlithography of semiconductor fabrication, spin-on hardmask (SOH) process continue to gain popularity as it replaces the traditional SiON/ACL hardmask scheme which suffers from high CoO, low productivity, particle contamination, and layer alignment issues. In the SOH process, organic polymer with high carbon content is spin-cast to form a carbon hardmask film. In the previous papers, we reported the development of organic SOH materials and their application in sub-70 nm lithography. In this paper, we describe the synthesis of organic polymers with very high carbon contents (>92 wt.%) and the evaluation of the spin-coated films for the hardmask application. The high carbon content of the polymer ensures improved etch resistance which amounts to >90% of ACLs resistance. However, as the carbon content of the polymers increases, the solubility in common organic solvents becomes lower. Here we report the strategies to improve the solubility of the high carbon content resins and optimization of the film properties for the SOH application.


Proceedings of SPIE - The International Society for Optical Engineering | 2008

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Wen Hao Wu; Edward Y. Chang; Hwan Sung Cheon; Sang-Kyun Kim; Hyeon Mo Cho; Kyong Ho Yoon; Jong Seob Kim; Tuwon Chang; Seong-Ho Shin

Amorphous Carbon Layer (ACL) and SiON system has been proven to be a good hardmask combination. These layers are formed by a high cost, low throughput CVD process. This paper discloses a reliable, low cost, high throughput process using a simple spin on layer structure. Through manipulation of various parameters, additional BARC layer is eliminated and the process is further simplified to a tri-layer structure. Also, PR/SiON/C-SOH (Carbon-Spin-On-Hardmask) system has been compared to PR / Si-SOH (Si-Spin-On-Hardmask ) / C-SOH system and found their performances are comparable. This indicates the PR / Si-SOH / C-SOH process is an economical yet comparable substitute.


Proceedings of SPIE | 2008

Silicon-Based Anti-Reflective Spin-On Hardmask Materials for 45 nm pattern of immersion ArF Lithography

Sang-Kyun Kim; Hyeon Mo Cho; Sang Ran Koh; Miyoung Kim; Hui Chan Yoon; Yong Jin Chung; Jong Seob Kim; Tuwon Chang

In current semiconductor manufacturing processes, hardmasks have become more prevalent in patterning of small features. A silicon-containing hardmask, which can be spun onto wafers, is desirable in terms of mass production throughput and cost of ownership. Previously, we reported a paper on silicon-based anti-reflective spin-on hardmask materials for 193 nm lithography. In this paper, hardmask materials for 45 nm pattern of immersion ArF lithography are described. To achieve 45 nm patterning, a different base resin platform from the previous paper has been used. Furthermore, we have improved the etch resistance by changing our synthesis method without modifying the resin platform and silicon contents. Despite these changes, an excellent storage stability, which is one of the essential requirements for the materials, is still maintained. Characterization and lithographic performance of 45 nm immersion ArF lithography using our new materials are described in detail.


SID Symposium Digest of Technical Papers | 2011

P-68: Development of Dye-Containing Hybrid Color PR for LCD Color Filter

Jae-Hyun Kim; Ji-Yun Kwon; In-jae Lee; Ju-Ho Jung; Kyung-Hee Hyung; Taek-Jin Baek; Eui June Jeong; Sang-Won Cho; Nam Gwang Kim; Se-Young Choi; Seungwon Yang; Gyu-Seok Han; Tuwon Chang

A group of dye-containing hybrid color PR was developed and it showed higher brightness and contrast ratio than conventional pigment-typed color PR. Reliability in terms of thermal, light, and chemical resistances of the hybrid color PR was comparable to that of pigment-typed color PR. And, patterning property was also as feasible as that of pigment-type color PR.


Proceedings of SPIE | 2009

Controlling etch properties of silicon-based antireflective spin-on hardmask materials

Sang-Kyun Kim; Hyeon Mo Cho; Chang-Soo Woo; Sang Ran Koh; Miyoung Kim; Hui Chan Yoon; Woo-Jin Lee; Seung Wook Shin; Jong Seob Kim; Tuwon Chang

In the recent semiconductor mass production, the tri-layer hardmask system has become crucial for successful patterning in many applications. Silicon-based anti-reflective spin-on hardmask (Si-SOH), which can be built by spin-on coating, is desirable in terms of mass production throughput and the overall cost of ownership. As the pattern size shrinks, the thickness of photoresist also becomes thinner, which forces the thickness of Si-SOH to be thinner resulting in a tighter thickness margin. In this case, controlling optical properties of Si-SOH becomes important in order to achieve low reflectivity in the exposure process. In addition, the tri-layer system can be set up more easily when the etch properties of Si-SOH can be controlled. Previously, we reported papers on silicon-based anti-reflective spin-on hardmask materials for 193 nm lithography, immersion ArF lithography, and optimization of optical properties of Si-SOH. In this paper, the technique for controlling etch properties of Si-SOH by a different type of monomer is described. To control etch properties in the same resin platform, the synthesis method was modified. Characterization of the Si-SOH synthesized by the new technique and the lithographic performance using this material are described in detail.

Collaboration


Dive into the Tuwon Chang's collaboration.

Top Co-Authors

Avatar

Min-Soo Kim

Daegu Gyeongbuk Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Miyoung Kim

Seoul National University

View shared research outputs
Researchain Logo
Decentralizing Knowledge