Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Warren W. Flack is active.

Publication


Featured researches published by Warren W. Flack.


21st Annual BACUS Symposium on Photomask Technology | 2002

Simulation study of reticle enhancement technology applications for 157-nm lithography

Dan L. Schurz; Warren W. Flack; Linard Karklin

The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industrys infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.


Micromachining and microfabrication process technology. Conference | 1999

Thick photoresist imaging using a three-wavelength exposure stepper

Bradley Todd; Warren W. Flack; Sylvia White

Images formed in thick photosensitive materials are widely used as electroplating molds for micro-electromechanical (MEMS) part and other electronic applications such as bump bonding, thin film heads and multichip module manufacturing. The expansion of traditional microelectronic lithography into very thick photoresists present a technical challenge for stepper manufacturers that have traditionally attempted to optimize resolution and depth of focus for thin photoresist systems. Stepper optics and illumination needs to be re-optimized for the best performance in thick photosensitive materials.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Investigation of the properties of photosensitive polyimide films

Warren W. Flack; Gary E. Flores; Lorna D.H. Christensen; Gary Newman

Modem package designs generate a large amount of stress on the die which can be controlled using a thick film of polyimide over the passivation layer. Polyimide film thicknesses in excess of twenty microns at exposure are becoming common for very thin packages. The standard polyimide lithographic process frequently utilizes a trilayer film consisting of an adhesion layer, a polyimide film, and photoresist. A major advance in polyimide technology occurred with the introduction of photosensitive polyimide materials. These materials reduce the total number of process steps in the polyimide process. They also offer the opportunity to combine the passivation and polyimide lithography steps into one process level resulting in significant process simplification and manufacturing cost reduction. Consequently, there is a rapid increase in the use of photosensitive polyimides in the semiconductor industry. There are a number of important issues associated with photosensitive polyimide processing. Because most photosensitive polyimides are negative tone, residual film formation has a major impact on resolution and the usable process window. The high exposure doses required for thicker polyimide films exacerbates the residual film problem. Also, resolving small features such as fuse windows in DRAMs is frequently required in thick photosensitive polyimide layers. These small features result in polyimide height-to-linewidth aspect ratios that are comparable to many photoresist applications. Because of these requirements, photosensitive polyimide applications could benefit from detailed process characterization to enhance resolution and increase process latitude. Unfortunately, there is scant literature pertaining to lithographic performance and lithographic process modeling for photosensitive polyimide films. An extension of basic photoresist characterization techniques for thin films can be applied to thick photosensitive polyimide processes. The develop rate characteristics and lithographic performance for several commercial photosensitive polyimide products were studied at a thickness of 12 microns. Cross sectional SEM analysis, Bossung plots, and film retention plots are used to establish relative lithographic capabilities. These experimental results are used to study the effects of polyimide physical and chemical properties on lithographic performance.


23rd Annual International Symposium on Microlithography | 1998

Optimization and characterization of ultrathick photoresist films

Warren W. Flack; Warren P. Fan; Sylvia White

There are in increasing number of advanced lithographic technologies that require photoresist film thickness in excess of twenty microns. For example, suppliers of microprocessors are migrating to flip chip packaging because of bond pad limitations. The flip chip application can require photoresist materials as thick as 125 micrometers for the bump-bonding step. Another application that requires ultra- thick photoresist films is micromachining. Extremely large structure heights are frequently required for micro- electrodeposition of the mechanical components such as coils, cantilevers and valves. These applications can require photoresist in excess of a hundred microns thickness. The patterning of high aspect ratio structures in these ultra-thick photoresist films is extremely challenging. The aspect ratios easily exceed those encountered in submicron lithography for standard integrated circuit (IC) manufacturing. In addition, the specific photoresist optical properties and develop characteristics degrade the critical dimension control for these ultra-thick films. The bulk absorption effect of the photoresist reduces the effective dose at the bottom of the film. This effect is exacerbated by the isotropic wet development process which produces sloped profiles. Unlike thin photoresist for IC manufacturing, lithography modeling and characterization are not readily available for ultra-thick photoresist films. The performance of several commercially available positive and negative ultra-thick photoresists is examined over a thickness range of 20 to 100 micrometers . This paper is primarily focused on the 25 micrometers film thickness using both high throughput i-line and gh-line lithography systems optimized for thick film processing. The various photoresists used in this study were selected to represent the full range of available chemistries from multiple suppliers. Basic photoresist characterization techniques for thin films are applied to the ultra-thick photoresist films. The cross sectional SEM analysis and Bossung plots were used to establish relative lithographic capabilities of each photoresist. The trade-offs between the various photoresist chemistries is reviewed and compared with the process requirements for the various applications. A future paper will discus the capabilities of these same photoresists at both 50 and 100 micrometers film thicknesses.


Proceedings of SPIE | 1998

Characterization of ultrathick photoresists for MEMS applications using a 1X stepper

Warren W. Flack; Warren P. Fan; Sylvia White

There is a growing interest in using optical steppers for Micromachining and Microfabrication (MEMS) applications due to the tighter overlay and improved critical dimension (CD) control possible with these lithography tools versus a contact printer or full wafer scanner. MEMS applications frequently require the use of ultra-thick photoresists which can easily exceed fifty microns. Extremely large structure heights and high aspect ratios are often required for micro- electrodeposition of mechanical components such as coils, cantilevers and valves. A stepper has an additional advantage with these structures since the focus can be adjusted at various levels into a thick photoresist, which will result in improved wall angles and enhanced aspect ratios. The patterning of high aspect ratio structures in these ultra- thick photoresist films is extremely challenging. The aspect ratios easily exceed those encountered in submicron lithography for standard integrated circuit (IC) manufacturing. In addition, the specific photoresist optical properties and develop characteristics degrade the CD control for these ultra-thick films. The bulk absorption effect of the photoresist reduces the effective dose at the bottom of the film. This effect is exacerbated by the isotropic wet development process, which produces sloped profiles. Unlike thin photoresist for IC manufacturing, lithography modeling and characterization tools are not available for ultra-thick photoresist films. For this study the performance of several commercially available positive and negative ultra-thick photoresists is examined at a thickness of fifty microns using both high throughput i-line and gh-line lithography systems optimized for thick photoresist processing. The photoresists used in this study are selected to represent the full range of available chemistries available from different suppliers. Basic photoresist characterization techniques created for thin films are applied to the ultra-thick photoresist films. Cross sectional SEM analysis, process linearity and Bossung plots are used to establish relative lithographic capabilities of each photoresist. The trade-offs between the various photoresist chemistries are reviewed and compared with the process requirements for high aspect ratio applications.


Metrology, inspection, and process control for microlithography. Conference | 2005

Dual side lithography measurement, precision, and accuracy

Daniel Schurz; Warren W. Flack; Robert Hsieh

Advances in micromachining (MEMS) applications such as optical components, inertial and pressure sensors, fluidic pumps and radio frequency (RF) devices are driving lithographic requirements for tighter registration, improved pattern resolution, and improved process control for pattern placement on both sides of the substrate. Consequently, there is a similar increase in demand for advanced metrology tools capable of measuring the Dual Side Alignment (DSA) performance of lithographic systems. The requirements for an advanced DSA metrology tool include the capability of measuring points over the entire area of the substrate, and of measuring a variety of different substrates and film types and thicknesses. This paper discusses the precision and accuracy of an advanced DSA metrology system, the UltraMet 100. This system offers DSA registration measurement at greater than 90% of a wafers surface area, providing a complete front to back side registration evaluation across a wafer. The system uses top and bottom cameras and a pattern recognition system that allow simultaneous target capture and measurement on both substrate surfaces. Because no industry standard has been established to determine the accuracy of dual side pattern metrology, an accuracy gauge was designed for this study that allows both top and bottom cameras to simultaneously measure offsets between two targets on one substrate surface. In this paper, an accuracy gauge is measured on the UltraMet 100 and the results are compared to measurements taken on a reticle X/Y pattern placement metrology tool calibrated to a NIST traceable standard. In addition, tool performance is analyzed in terms of system repeatability and reproducibility.


Advances in resist technology and processing. Conference | 1997

Advanced simulation techniques for thick photoresist lithography

Warren W. Flack; Gary Newman; Douglas A. Bernard; Juan C. Rey; Yuri Granik; Victor V. Boksha

A method has been developed that allows accurate simulation of pattern profiles in photoresist in excess of 10 micrometer thick. The method uses the DEPICTR photolithography simulator to model i-line exposure, bake and development of Shipley SJRR5740 thick film photoresists with an Ultratech 2244i Wafer StepperR. Kim model inputs were estimated from a family of development rate curves obtained by processing wafers with a range of expose energies for logarithmically increasing develop times and measuring thickness change as the develop process occurred. These results were compared with dissolution results obtained using a laser-based dissolution rate monitor. Uncertainties in the measured photoresist absorbence, photosensitivity and refractive index coefficients were estimated and their influence on the simulated results were considered. An optimization procedure and algorithm that allows quantitative comparison of experimental and simulated photoresist profiles is presented. Simulated photoresist profiles were compared with patterns obtained from processed wafers. As a further test of the models, pattern profiles were simulated for 2 micrometer spaces in 10 micrometer thick photoresist through focus. Experimental and simulated pattern profiles from a range of exposure doses were also compared.


26th Annual International Symposium on Microlithography | 2001

Process improvements for ultrathick photoresist using a broadband stepper

Warren W. Flack; Ha-Ai Nguyen; Elliott Capsuto

There are a number of new lithographic applications that require the use of ultra-thick photoresists. Extremely large structure heights and high aspect ratios are often necessary for electroplating processes. In this situation it is important for the height of the patterned photoresist to exceed the plating height. Two of the main applications for thick photoresist are micromachining and advanced packaging. Ultra-thick photoresists are used in packaging to define the size and location of the bonds for bump bonding, while in micromachining the photoresist is used to define fluidic chambers and electroforming molds. At photoresist thickness greater than 15 microns, standard lithographic techniques become difficult in terms of performance and productivity. The bake, exposure and develop times increase dramatically as the photoresist thickness climbs. The estimated total process time for a 15 micron photoresist is approximately three times greater than that of a 1 micron photoresist. For thick films the develop time on the wafer track becomes the throughput limiter for the entire lithography cell. Therefore, reducing develop time for thick photoresist processes is critical to enhancing the lithography cell cost of ownership. In this paper we will focus on the developer chemistry and process to improve both performance and productivity for a 15 micron thick photoresist. We evaluate process changes in both normality and surfactant level of the developer. Cross sectional analysis, contrast curves, process linearity and process windows are used to establish the lithographic capabilities. It is clear that a developer and process for a thin photoresist is not necessarily optimum for a thick photoresist process. The implementation of an ultra-thick photoresist becomes more feasible in a manufacturing environment after optimizing developer chemistry and process conditions.


international symposium on advanced packaging materials. processes, properties and interfaces | 2007

Characterization of a thick copper pillar bump process

Warren W. Flack; Ha-Ai Nguyen; Elliott Capsuto; Craig McEwen

As pin counts and interconnection densities increase there is growing interest in copper pillar bumps for flip chip and wafer-level packaging. This trend is driven by the need to increase interconnect performance as well as reduce interconnect cost. Copper pillars retain their shape during solder reflow, allowing finer interconnect pitches with predictable standoff heights. The fabrication of copper pillar bumps requires the use of a very thick photoresist layer for copper and nickel electroplating. This photoresist material must be capable of coating, exposing, developing, electroplating and stripping with conventional track equipment and standard ancillary process chemicals. For the electroplating process the photoresist profile, plating durability and stripability are important considerations. This study will characterize a photoresist for a single coat, 55 μm thick copper process. The lithographic performance of the thick positive photoresist will be optimized using a broad band, low numerical aperture stepper. Results will show good adhesion to copper with no surface treatment and no photoresist cracking during plating. Cross sectional SEM analysis, process latitude, and copper-nickel electroplating performance are used to establish the lithographic capabilities.


Advances in Resist Technology and Processing XXI | 2004

Contrast enhancement materials for thick photoresist applications

Warren W. Flack; Ha-Ai Nguyen; Jim Buchanan; Elliott Capsuto; Alan Marks

The performance requirements for ultra-thick photoresists are rapidly increasing due to the dramatic growth of applications such as nanotechnology (MEMS) and advanced packaging. Commercial products such as accelerometers, ink jet print heads, biomedical sensors and optical switches are driving growth in the nanotechnology market. Advanced packaging techniques such as flip chip in package, flip chip in board and wafer level chip scale packaging have become widely adopted to address electrical device performance and chip form factor considerations. The common lithography requirement for these applications is formation of high aspect ratio structures with sufficient process latitude to allow devices to be manufactured in production volumes. The use of a contrast enhancement material (CEM) has been shown to be effective in improving lithographic performance and process latitude for thin photoresist applications. However, CEM technology can also be used for the thick photoresist materials in MEMS and advanced packaging applications. The lithographic performance of three representative thick photoresists was characterized with and without a top CEM. The first two materials are ultra-thick positive photoresists that are widely used for electroplated bump bonding structures. The third material is a thick negative photoresist widely used for electrical redistribution levels. All lithography was performed using a low numerical aperture, 1X stepper to control critical dimensions (CD), sidewall angles and aspect ratios. Cross sectional SEM analysis was used to establish the lithographic capabilities of the three photoresists with and without top CEM. The recommended process flow for each photoresist with top CEM is described. The advantages and disadvantages of using CEM for thick photoresist applications are also discussed.

Collaboration


Dive into the Warren W. Flack's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Elliott Capsuto

East Tennessee State University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge