Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wen-Bing Kang is active.

Publication


Featured researches published by Wen-Bing Kang.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Bottom antireflective coatings for ArF, KrF, and i-line applications: a comparison of theory, design, and lithographic aspects

Munirathna Padmanaban; Shuji Ding; Stanley A. Ficner; Wen-Bing Kang; Dinesh N. Khanna; Ralph R. Dammel

The present paper discusses theory, design and properties of bottom anti-reflective coatings (BARCs) for deep UV and i- line applications. All BARCs are interference devices, and as such their optical constants are optimal only for certain combinations of thickness and the real and imaginary parts of the refractive index. Maps of the optimality conditions in the parameter space will be provided. The design of BARCs for various exposure wavelengths involves choosing the right dye molecules capable of highly absorbing at the particular wavelengths and optimizing the etch rates of the resulting film sand fine tuning the formations for best lithographic performance. At an exposure wavelength of 365 nm, dye compounds such as amino aromatic or azo type compounds can be used, for 248 nm it is necessary to use fused rings such as anthracene to have sufficient absorption, and in the case of 193 nm exposures simple benzene or phenolic compounds exhibit the required d absorbance. Since the dye molecules are invariably aromatic or fused rings, it is necessary to balance the absorption property versus the etch rate by incorporating non-aromatic moieties. Further, the BARC formulations need to be free from intermixing, formation of foot or undercut in order to obtain fine resist patterns. Our development efforts on BARCs have led to the AZ EXP ArF, KrF and BARLi series of BARCs designed for 193, 248 and 365 nm wavelength exposures, respectively. Lithographic data of some of these products will also be presented with the emphasis on the AZ EXP ArF-1 material designed for 193 nm exposure.


Japanese Journal of Applied Physics | 1998

Fabrication Process of Color Filters Using Pigmented Photoresists

Takanori Kudo; Yuki Nanjo; Yuko Yamaguchi; Hidemasa Yamaguchi; Wen-Bing Kang; Georg Pawlowski

The fabrication process of color filters produced with a series of new pigmented photoresists (CX513RGB) and their performance are described. The novel resist materials offer excellent contrast, good film uniformity and a stable shelf life exceeding 6 months, which was achieved by optimization of the amount and the molecular weight of a proprietary pigment dispersant. The development conditions of the pigmented resists were found to be influenced by their adhesion to the substrate materials (glass, chromium oxide and pixels). Dissolution type development was observed for prebake temperatures below 135°C or when developers with higher tetramethyl ammonium hydroxide (TMAH) concentrations were used. The desired overcut pattern profiles were obtained when development times ranged from 60–180 s. CX513RGB resists obey the “reciprocity law” over 3 mW/cm2 and thus the resist sensitivity is not affected by the irradiation power generated by conventional mask aligners (15–20 mW/cm2).


Advances in Resist Technology and Processing XXI | 2004

Acid diffusion characteristics of RELACS coating for 193-nm lithography

Sungeun Hong; Takeshi Nishibe; Tetsuo Okayasu; Kiyohisa Takahashi; Yusuke Takano; Wen-Bing Kang; Hatsuyuki Tanaka

So far, there are still many unknown phenomena on the interface of RELACS/resist during mixing bake (MB) processing. Knowing the precise quantitative interaction of these phenomena is significantly important to understand RELACS coating in order to attain much finer contacts as well as spaces with conventional optical lithography. Furthermore, more clear understanding of acid diffusion about RELACS/resist provides us more explicit design concept to increase the shrinkage of RELACS coating for 193nm lithography. In this study, we studied the differences of acid diffusion characteristics between 248nm and 193nm chemically amplified resists with various thermal acid generators (TAGs) in aqueous polymer coating. The diffusion phenomenon from resist to aqueous polymer coating is strongly correlated to the intrinsic diffusion characteristics of both resists. This study also revealed that the quantitative structure properties of organosulfonic acids generated from TAGs affects on the diffusion phenomena from resist to RELACS coating.


23rd Annual International Symposium on Microlithography | 1998

Chemical and lithographic aspects of organic deep-UV BARCs

Munirathna Padmanaban; Wen-Bing Kang; Ken Kimura; Yoshino Nishiwaki; Georg Pawlowski; Hatsuyuki Tanaka

Bottom anti-reflective coatings (BARC) are useful to suppress the problems associated with reflection. In addition to matching the basic properties such as strong absorption at the exposure wavelength, and high etch rate, a commercially successful deep UV BARC material should be adaptable to as many chemically amplified resists as possible. A photoresist solvent compatible organic BARC material needs to have a minimum of two functions i.e., a dye to control the reflection, and a hardening agent or cross-linker to avoid intermixing with the resist cast on it. The dye and hardening components can be included to the BARC formulation in the form of additives or as an integral part of the polymer. We have designed novel BARC materials containing the dye and hardening function in the same polymer. Optionally, a third function can be incorporated to optimize the etch and solubility characteristics.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Development of 193-nm B.A.R.C.s for dual damascene applications

Hengpeng Wu; Zhong Xiang; Eleazar Gonzalez; Jianhui Shan; Shuji Ding; Wen-Bing Kang; Aritaka Hishida

Full and/or partial filling of 193 nm antireflective materials in contact holes is required for dual damascene applications. One of the major challenges for via filling is to minimize various fill bias associated with via size, via pitches and wafer size to an acceptable level. Toward this aim, various formulations were prepared and tested on different types of wafers using different processing conditions. It has been found that both the properties of the filling materials (e.g., molecular weights, glass transition temperatures, etc.) and processing conditions (e.g., spinning speed, dispense modes, baking temperatures, etc.) affect the filling behaviors. This paper presents our recent progress in the development of 193 nm B.A.R.C. materials designed for the dual damascene process. Through screening of the B.A.R.C. materials and optimization of the processing parameters, we have successfully developed two types of B.A.R.C. materials, namely, AZ EXP ArF-2P1 and AZ EXP ArF-2P5A, both of which show good filling performance.


Archive | 1998

ANTIREFLECTION OR LIGHT-ABSORBING COATING COMPOSITION AND POLYMER THEREFOR

Munirathna Padmanaban; Wen-Bing Kang; Georg Pawlowski; Ken Kimura; Hatsuyuki Tanaka


Archive | 1999

Composition for bottom reflection preventive film and novel polymeric dye for use in the same

Georg Pawlowski; Munirathna Padmanaban; Wen-Bing Kang; Hatsuyuki Tanaka; Ken Kimura; Yoshinori Nishiwaki


Archive | 1999

Composition for anti-reflective coating or radiation absorbing coating and compounds used in the composition

Munirathna Padmanaban; Wen-Bing Kang; Hatsuyuki Tanaka; Ken Kimura; Georg Pawlowski


Archive | 2007

Composition for Coating over a Photoresist Pattern Comprising a Lactam

Muthiah Thiyagarajan; Ralph R. Dammel; Yi Cao; SungEun Hong; Wen-Bing Kang; Clement Anyadiegwu


Archive | 1997

Apparatus for detecting defects of wires on a wiring board wherein optical sensor includes a film of polymer non-linear optical material

Yusuke Takano; Shizuo Ogura; Tsunetoshi Sugiyama; Wen-Bing Kang

Collaboration


Dive into the Wen-Bing Kang's collaboration.

Top Co-Authors

Avatar

Ken Kimura

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ken Kimura

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

SungEun Hong

AZ Electronic Materials

View shared research outputs
Researchain Logo
Decentralizing Knowledge