Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Munirathna Padmanaban is active.

Publication


Featured researches published by Munirathna Padmanaban.


26th Annual International Symposium on Microlithography | 2001

CD changes of 193-nm resists during SEM measurement

Takanori Kudo; Jun-Bom Bae; Ralph R. Dammel; Woo-Kyu Kim; Douglas S. McKenzie; M. D. Rahman; Munirathna Padmanaban; Waiman Ng

CD linewidth change during SEM inspection has been one of the issues encountered in the introduction of 193 nm resists. As a general tendency, the methacrylate resists exhibit faster line width reduction than the cycloolefin- maleic anhydride (COMA) systems; however, other resist components as well as CD SEM settings paly an important role. Based on the exposure time vs. CD loss, the line width slimming (LSW) is found to proceed in three steps, which are assigned as: 1) chemical change of outer resist layer, 2) evaporation of volatiles and 3) bulk chain scission or deprotection. Countermeasures for CD degradation are proposed form both the formulation and process sides. A calculation of e-beam penetration depth suggests that deprotection, chain scission and other reactions occur in the first 20-40nm, and these reaction rates combined with thermal effects determine LWS. The CD SEM measurement method has been improved to minimize e-beam exposure and to spread out the thermal load over a larger period of time. An optimized formulation exhibits less than 0.2% LWS per measurement with the improved CD measurement program.


Advances in resist technology and processing XVII | 2000

Application of photodecomposable base concept to 193 nm resists

Munirathna Padmanaban; Jun-Born Bae; Michelle M. Cook; Woo-Kyu Kim; Axel Klauck-Jacobs; Takanori Kudo; M. Dalil Rahman; Ralph R. Dammel; Jeffrey D. Byers

This paper reports on the use of trimethyl sulfonium hydroxide as a base additive for 193 nm applications, which is found to stabilize the latent image as well a act as a photodecomposable base. Delay time stability (exposure to post-exposure bake) of formulations consisting of trimethylsulfonium hydroxide is compared to that of a non- photodecomposable base (diethanolamine) in both methacrylate- and cycloolefin-based 193 nm resists. Resist formulations made using the trimethylsulfonium base were stable for more than one hour, while the reference formulation with diethanolamine showed T-top formation within 10 minutes delay time under the same conditions. The trialkylsulfonium hydroxide base additives were found to be photodecomposable by measuring the acid produced upon exposure. Compared to a non- photodecomposable base containing resist, the photodecomposable base containing resist produced more acid in the exposed areas under identical PAG/BASE molar ratios.


23rd Annual International Symposium on Microlithography | 1998

Lithographic performance of a dry-etch stable methacrylate resist at 193 nm

Ralph R. Dammel; Stanley A. Ficner; Joseph E. Oberlander; Axel Klauck-Jacobs; Munirathna Padmanaban; Dinesh N. Khanna; Dana L. Durham

High resolution performance down to the 0.13 micrometers level is demonstrated in a methacrylate resist with pendent polycyclic side groups. The best performance is achieved with a bottom coat although interactions with the resist were still observed which led to the presence of scum in fine lines and to a large dose change relative to silicon. The demonstrated dry etch rate of the resist was found to be about 10% higher than APEX-E; predictions based on the ring parameter would have led us to expect a more favorable etch rate. The observed discrepancy has led us to speculate on possible exposure of the resist by the plasma environment and loss of the etch resistance polycyclic unit through evaporation.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Bottom antireflective coatings for ArF, KrF, and i-line applications: a comparison of theory, design, and lithographic aspects

Munirathna Padmanaban; Shuji Ding; Stanley A. Ficner; Wen-Bing Kang; Dinesh N. Khanna; Ralph R. Dammel

The present paper discusses theory, design and properties of bottom anti-reflective coatings (BARCs) for deep UV and i- line applications. All BARCs are interference devices, and as such their optical constants are optimal only for certain combinations of thickness and the real and imaginary parts of the refractive index. Maps of the optimality conditions in the parameter space will be provided. The design of BARCs for various exposure wavelengths involves choosing the right dye molecules capable of highly absorbing at the particular wavelengths and optimizing the etch rates of the resulting film sand fine tuning the formations for best lithographic performance. At an exposure wavelength of 365 nm, dye compounds such as amino aromatic or azo type compounds can be used, for 248 nm it is necessary to use fused rings such as anthracene to have sufficient absorption, and in the case of 193 nm exposures simple benzene or phenolic compounds exhibit the required d absorbance. Since the dye molecules are invariably aromatic or fused rings, it is necessary to balance the absorption property versus the etch rate by incorporating non-aromatic moieties. Further, the BARC formulations need to be free from intermixing, formation of foot or undercut in order to obtain fine resist patterns. Our development efforts on BARCs have led to the AZ EXP ArF, KrF and BARLi series of BARCs designed for 193, 248 and 365 nm wavelength exposures, respectively. Lithographic data of some of these products will also be presented with the emphasis on the AZ EXP ArF-1 material designed for 193 nm exposure.


SPIE's 27th Annual International Symposium on Microlithography | 2002

E-beam curing effects on the etch and CD-SEM stability of 193-nm resists

Munirathna Padmanaban; Eric L. Alemy; Ralph R. Dammel; Woo-Kyu Kim; Takanori Kudo; Sang-Ho Lee; Douglas S. McKenzie; Aldo Orsi; Dalil Rahman; Wan-Lin Chen; Reza Sadjadi; William R. Livesay; Matthew F. Ross

Electron beam (e-beam) curing techniques are known to improve etch and CD-SEM stability of 248 and 193nm resists. The effects of three different e-beam curing processes (standard, LT and ESC) on the methacrylate and hybrid type 193nm resists were studied with respect to resin chemistry changes, resist film shrinkage, pattern profiles, etch rates, and CD SEM stability. Both methacrylate and hybrid type 193nm resists lose carbonyl groups from the resins, with possibly a reduction in the free volume leading to improved etch resistance/selectivity. Methacrylate resist films shrink ca. 22-24% and hybrid resist films shrink ca. 23-27%. The LT process shrinks the least compared to the ESC and standard process. The ESC and LT processes were found to stabilize the patterns uniformly compared to the standard process. Etch rate, selectivity and resist surface roughness after etch of both methacrylate and hybrid resists were improved using the e-beam curing process. E-beam curing drastically reduces the CD SEM shrinkage (from ca. 15% to 2- 5%); however, considerable shrinkage occurs during the curing process itself.


Advances in Resist Technology and Processing XX | 2003

Performance of imide and methide onium PAGs in 193-nm resist formulations

Munirathna Padmanaban; Ralph R. Dammel; Sang-Ho Lee; Woo-Kyu Kim; Takanori Kudo; Douglas S. McKenzie; Dalil Rahman

The performance of a new class of photoacid generators (PAGs) made from the onium salts of bis(perfluoroalkylsulfonyl)imide and tris(perfluoroalkylsulfonyl)methide anions were studied in 193nm formulations. The lithographic properties such as sensitivity, resolution, pattern profiles, footing, I-D bias and PEB sensitivity were investigated in methacrylate and COMA/methacrylate hybrid type matrix resins. In general the iodonium PAGs were about three times slower than the sulfonium PAGs. Methide and imide PAGs possessing similar fluoroalkylgroups showed comparable performance in terms of exposure latitude, I-D bias. And PEB sensitivity. Compared to the reference PAG, the profiles exhibited T-tops and sum. Among the new PAGs studied bis(perfluorobutanesulfonyl)imide exhibited close performance to that of the reference PAG except for the scum. Details on the exposure results of these PAGs in both methacrylate type and COMA/methacrylate hybrid type polymer based 193nm resist formulations are provided.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Cycloolefin/maleic anhydride copolymers for 193 nm resist compositions

M. Dalil Rahman; Jun-Bom Bae; Michelle M. Cook; Dana L. Durham; Takanori Kudo; Woo-Kyu Kim; Munirathna Padmanaban; Ralph R. Dammel

Cycloolefin/maleic anhydride systems are a favorable approach to dry etch resistant resists for 193 nm lithography. This paper reports on poly(BNC/HNC/NC/MA) tetrapolymers, from t- butylnorbornene carboxylate (BNC), hydroxyethyl-norbornene carboxylate (HNC), norbornene carboxylic acid (NC) and maleic anhydride (MA). It was found that moisture has to be excluded in the synthesis of these systems if reproducible results are to be obtained. Lithographic evaluation of an optimized, modified polymer has shown linear isolated line resolution down to 100 nm using conventional 193 nm illumination. Possible reactions of the alcohol and anhydride moieties are discussed, and the effect of the anhydride unit on polymer absorbance is discussed using succinnic anhydride as a model compound.


Advances in Resist Technology and Processing XX | 2003

PEB sensitivity studies of ArF resist

Sang-Ho Lee; Woo-Kyu Kim; Dalil Rahman; Takanori Kudo; Allen Timko; Clement Anyadiegwu; Douglas S. McKenzie; Takashi Kanda; Ralph R. Dammel; Munirathna Padmanaban

In an effort to develop a production-worthy 193-resist, it is important to understand the critical factors that impacts the CD variation during a routine photo lithographic process. A comprehensive investigation was done on factors affecting PEB sensitivity in ArF resist system. The areas of interest are polymer components, PAGs, bases, and photo lithographic process. In order to understand effects of the PAGs on PEB sensitivity, a number of PAGs possessing different types of cations and anions were investigated. Sulfonium type cations and acids with longer alkyl chains were found to be effective in reducing the PEB sensitivity. Influence of lithography process conditions was also studied on the PEB sensitivity. Increasing the soft bake (SB) temperature and decreasing the post exposure bake (PEB) temperature reduced the PEB sensitivity but mostly at the expense of line-edge roughness (LER). This paper presents our findings of the critical factors affecting PEB sensitivity and describes improved lithographic results of an optimized experimental formulation. In addition, delay effects after coating, soft bake, exposure, and post exposure bake (PEB) were also investigated and these results are included.


26th Annual International Symposium on Microlithography | 2001

Novel hybrid copolymers of cycloolefin/maleic anhydride (COMA)/methacrylate for 193-nm resist compositions

M. Dalil Rahman; Douglas S. McKenzie; Jun-Bom Bae; Takanori Kudo; Woo-Kyu Kim; Munirathna Padmanaban; Ralph R. Dammel

A novel process for the preparation of hybrid copolymers based on cycloolefin-maleic anhydride and methacrylate (COMA/Methacrylate) monomers has been developed. A variety of copolymers have been synthesized form t-butylnorbonene carboxylate (BNC), hydroxyethylnorbornene carboxylate (HNC), and norbornene carboxylic acid (NC) with different types of methacrylate monomers such as 2-methyl-2-adamantyl- methacrylate(MAdMA), mevalonic lactone methacrylate(MLMA) and maleic anhydride (MA). The effect of the different types of monomers and the ratios of monomers in the copolymer on lithographic performance has been studied. Lithographic evaluation of some of these polymers has shown resolution down to 80nm for semi and fully isolated lines using conventional 193nm illumination and standard development conditions. This paper will report the chemistry of the hybrid polymer platforms and the progress of our effort to develop 193 resist for semi-dense and isolated line applications.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Thermal phenomena in acrylic 193-nm resists

Patrick Jean Paniez; Severine Gally; B. Mortini; Charles Rosilio; Pierre-Olivier Sassoulas; Ralph R. Dammel; Munirathna Padmanaban; Axel Klauck-Jacobs; Joseph E. Oberlander

A combination of methods has been applied to determine the glass transition and decomposition temperatures for several series of methacrylic copolymers used in commercial 193 nm resist as a function of the environment experienced by the protective group. The decomposition of the MAdMa and MLMA monomers which are the basis of the commercial AZ EXP AX- 1000P system is not appreciably catalyzed by the presence of MAA comonomers, leading to the conclusions that there is no autocatalytic effect in the deprotection of photoresists using these groups. AZ EXP AX1000P is found to have a high Tg of about 154 degrees C, which is corroborated by thermal flow measurements of developed resist features. Due to a decomposition process initiated by one of the other resist components, the formulation is presently not of the annealing type.

Collaboration


Dive into the Munirathna Padmanaban's collaboration.

Researchain Logo
Decentralizing Knowledge