Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Woong Jae Chung is active.

Publication


Featured researches published by Woong Jae Chung.


Proceedings of SPIE | 2015

HVM capabilities of CPE run-to-run overlay control

Lokesh Subramany; Woong Jae Chung; Karsten Gutjahr; Miguel Garcia-Medina; Christian Sparka; Lipkong Yap; Onur Demirer; Ramkumar Karur-Shanmugam; Brent Riggs; Vidya Ramanathan; John C. Robinson; Bill Pierson

With the introduction of N2x and N1x process nodes, leading-edge factories are facing challenging demands of shrinking design margins. Previously un-corrected high-order signatures, and un-compensated temporal changes of high-order signatures, carry an important potential for improvement of on-product overlay (OPO). Until recently, static corrections per exposure (CPE), applied separately from the main APC correction, have been the industry’s standard for critical layers [1], [2]. This static correction is setup once per device and layer and then updated periodically or when a machine change point generates a new overlay signature. This is a non-ideal setup for two reasons. First, any drift or sudden shift in tool signature between two CPE update periods can cause worse OPO and a higher rework rate, or, even worse, lead to yield loss at end of line. Second, these corrections are made from full map measurements that can be in excess of 1,000 measurements per wafer [3]. Advanced overlay control algorithms utilizing Run-to-Run (R2R) CPE can be used to reduce the overlay signatures on product in High Volume Manufacturing (HVM) environments. In this paper, we demonstrate the results of a R2R CPE control scheme in HVM. The authors show an improvement up to 20% OPO Mean+3Sigma values on several critical immersion layers at the 28nm and 14 nm technology nodes, and a reduction of out-of-spec residual points per wafer (validated on full map). These results are attained by closely tracking process tool signature changes by means of APC, and with an affordable metrology load which is significantly smaller than full wafer measurements.


Proceedings of SPIE | 2014

Run time scanner data analysis for HVM lithography process monitoring and stability control

Woong Jae Chung; Young Ki Kim; John Tristan; Jeong Soo Kim; Lokesh Subramany; Chen Li; Brent Riggs; Vidya Ramanathan; Ram Karur-Shanmugam; George Hoo; Jie Gao; Anna Golotsvan; Kevin Huang; Bill Pierson; John C. Robinson

There are various data mining and analysis tools in use by high-volume semiconductor manufacturers throughout the industry that seek to provide robust monitoring and analysis capabilities for the purpose of maintaining a stable lithography process. These tools exist in both online and offline formats and draw upon data from various sources for monitoring and analysis. This paper explores several possible use cases of run-time scanner data to provide advanced overlay and imaging analysis for scanner lithography signatures. Here we demonstrate several use-cases for analyzing and stabilizing lithography processes. Applications include high order wafer alignment simulations in which an optimal alignment strategy is determined by dynamic wafer selection, reporting statistics data and analysis of the lot report and the sub-recipe as a sort of non-standard lot report, visualization of key lithography process parameters, and scanner fleet management (SFM)


Proceedings of SPIE | 2014

Integrated production overlay field-by-field control for leading edge technology nodes

Woong Jae Chung; John Tristan; Karsten Gutjahr; Lokesh Subramany; Chen Li; Yulei Sun; Mark Yelverton; Young Ki Kim; Jeong Soo Kim; Chin-Chou Kevin Huang; William Pierson; Ramkumar Karur-Shanmugam; Brent Riggs; Sven Jug; John C. Robinson; Lipkong Yap; Vidya Ramanathan

As photolithography will continue with 193nm immersion multiple patterning technologies for the leading edge HVM process node, the production overlay requirement for critical layers in logic devices has almost reached the scanner hardware performance limit. To meet the extreme overlay requirements in HVM production environment, this study investigates a new integrated overlay control concept for leading edge technology nodes that combines the run-to-run (R2R) linear or high order control loop, the periodic field-by-field or correction per exposure (CPE) wafer process signature control loop, and the scanner baseline control loop into a single integrated overlay control path through the fab host APC system. The goal is to meet the fab requirements for overlay performance, lower the cost of ownership, and provide freedom of control methodology. In this paper, a detailed implementation of this concept will be discussed, along with some preliminary results.


Proceedings of SPIE | 2014

Lithography Focus/Exposure Control and Corrections to Improve CDU at Post Etch Step

Young Ki Kim; Mark Yelverton; John Tristan; Joungchel Lee; Karsten Gutjahr; Ching-Hsiang Hsu; Hong Wei; Lester Wang; Chen Li; Lokesh Subramany; Woong Jae Chung; Jeong Soo Kim; Vidya Ramanathan; Lipkong Yap; Jie Gao; Ram Karur-Shanmugam; Anna Golotsvan; Pedro Herrera; Kevin Huang; Bill Pierson

As leading edge lithography moves to advanced nodes in high-mix, high-volume manufacturing environment, automated control of critical dimension (CD) within wafer has become a requirement. Current control methods to improve CD uniformity (CDU) generally rely upon the use of field by field exposure corrections via factory automation or through scanner sub-recipe. Such CDU control methods are limited to lithography step and cannot be extended to etch step. In this paper, a new method to improve CDU at post etch step by optimizing exposure at lithography step is introduced. This new solution utilizes GLOBALFOUNDRIES’ factory automation system and KLA-Tencor’s K-T Analyzer as the infrastructure to calculate and feed the necessary field by field level exposure corrections back to scanner, so as to achieve the optimal CDU at post etch step. CD at post lithography and post etch steps are measured by scatterometry metrology tools respectively and are used by K-T Analyzer as the input for correction calculations. This paper will explain in detail the philosophy as well as the methodology behind this novel CDU control solution. In addition, applications and use cases will be reviewed to demonstrate the capability and potential of this solution. The feasibility of adopting this solution in high-mix, high-volume manufacturing environment will be discussed as well.


Optical Microlithography XXXI | 2018

Overlay control for 7nm technology node and beyond

Nyan Aung; Woong Jae Chung; Pavan Samudrala; Haiyong Gao; Wenle Gao; Darius Brown; Bono Park; Michael Hsieh; Xueli Hao; Yen-Jen Chen; Yue Zhou; DeNeil Park; Karsten Gutjahr; Ian Krumanocker; Kevin Jock; Juan-Manuel Gomez; Guanchen He

We demonstrate high volume manufacturing feasibility of 7 nm technology overlay correction requirement. This stateof- the-art overlay control is achieved by (i) overlay sampling optimization and advanced modeling, (ii) alignment and advanced process control optimization, (iii) multiple target overlay optimization, and (iv) heating control. We will also discuss further improvements in overlay control for 7 nm technology node and beyond including computational metrology, extreme ultraviolet and optic tools overlay matching control, high order alignment correction, tool stability improvement, and advanced heating control.


Proceedings of SPIE | 2017

Novel methodology to optimize wafer alignment to enhance 14nm on product overlay

Pavan Samudrala; Woong Jae Chung; Lokesh Subramany; Haiyong Gao; Nyan Aung; Seung Chul Oh; Shawn Lee; Erik Delvigne; Blandine Minghetti

With continuous shrink in feature dimensions, overlay tolerance for fabrication of transistors is getting more stringent. Achieving good overlay is extremely critical in getting good yield in HVM environment. It is widely understood that good alignment during exposure is critical for better on product overlay [1]. Conventional methods to choose alignment marks on ASML scanners are based on comparing alignment key performance indicators (KPIs) including signal quality, grid repeatability, etc. It is possible that even with good alignment KPIs, OPO is still impacted. In this paper, we propose aspects that need to be monitored to choose proper alignment marks. LIS (Litho In-Sight) alignment, Ideal overlay/APC parameter signatures are used to determine and validate wafer alignment. LIS alignment ‘Target and Profile selection’ analysis enables us to determine best alignment strategy between multiple strategies/marks based on overlay measurements. Analysis includes examining wafer to wafer OPO variation which is key indicator for alignment robustness. Varying overlay parameters within lot would indicate either large process instability or alignment mark signal instability. It is possible that alignment marks depending on their segmentation can be very differently impacted with the process. Ideal overlay/APC signature stability indicates healthy process and wafer alignment. Having similar APC signatures at corresponding layers would mean that there is no major process or alignment issue.


Proceedings of SPIE | 2017

Alignment solutions on FBEOL layers using ASML scanners

Pavan Samudrala; Gregory Hart; Yen-Jen Chen; Lokesh Subramany; Haiyong Gao; Nyan Aung; Woong Jae Chung; Blandine Minghetti; Rajan Mali; Seva Khikhlovskyi; Pieter Heres

Wafers at FBEOL layers traditionally have higher stress and larger alignment signal variability. ASML’s ATHENA sensor based scanners, commonly used to expose FBEOL layers, have large spot size (~700um). Hence ATHENA captures the signal from larger area compared to the alignment marks which are typically ~40um wide. This results in higher noise in the alignment signal and if the surrounding areas contain periodic product structures, they interfere with the alignment signal causing either alignment rejects or in some cases- misalignment. SMASH alignment sensors with smaller spot size (~40um) and two additional probe lasers have been used to improve alignment quality and hence reduce mark/wafer rejects. However, due to the process variability, alignment issues still persist. For example, the aluminum grain size, alignment mark trench deposition uniformity, alignment mark asymmetry and variation in stack thicknesses all contribute to the alignment signal variability even within a single wafer. Here, a solution using SMASH sensor that involves designing new alignment marks to ensure conformal coating is proposed. Also new techniques and controls during coarse wafer alignment (COWA) and fine wafer alignment (FIWA) including extra controls over wafer shape parameters, longer scan lengths on alignment marks and weighted light source between Far Infra-Red laser (FIR) and Near Infra-Red (NIR) for alignment are presented. All the above mentioned techniques, when implemented, have reduced the wafer alignment reject rate from around 25% to less than 0.1%. Future work includes mark validation based on the signal response from the various laser colors. Finally, process monitoring using alignment parameters is explored.


Proceedings of SPIE | 2016

Overlay optimization for 1x node technology and beyond via rule based sparse sampling

Nyan Aung; Woong Jae Chung; Lokesh Subramany; Shehzeen Hussain; Pavan Samudrala; Haiyong Gao; Xueli Hao; Yen-Jen Chen; Juan-Manuel Gomez

We demonstrate a cost-effective automated rule based sparse sampling method that can detect the spatial variation of overlay errors as well as the overlay signature of the fields. Our technique satisfies the following three rules: (i) homogeneous distribution of ~200 samples across the wafer, (ii) equal number of samples in scan up and scan down condition and (iii) equal number of sampling on each overlay marks per field. When rule based samplings are implemented on the two products, the differences between the full wafer map sampling and the rule based sampling are within 3.5 nm overlay spec with residuals M+3σ of 2.4 nm (x) and 2.43 nm (y) for Product A and 2.98 nm (x) and 3.32 nm (y) for Product B.


Proceedings of SPIE | 2016

Scanner baseliner monitoring and control in high volume manufacturing

Pavan Samudrala; Woong Jae Chung; Nyan Aung; Lokesh Subramany; Haiyong Gao; Juan-Manuel Gomez

We analyze performance of different customized models on baseliner overlay data and demonstrate the reduction in overlay residuals by ~10%. Smart Sampling sets were assessed and compared with the full wafer measurements. We found that performance of the grid can still be maintained by going to one-third of total sampling points, while reducing metrology time by 60%. We also demonstrate the feasibility of achieving time to time matching using scanner fleet manager and thus identify the tool drifts even when the tool monitoring controls are within spec limits. We also explore the scanner feedback constant variation with illumination sources.


Proceedings of SPIE | 2016

Analysis of wafer heating in 14nm DUV layers

Lokesh Subramany; Woong Jae Chung; Pavan Samudrala; Haiyong Gao; Nyan Aung; Juan Manuel Gomez; Blandine Minghetti; Shawn Lee

To further shrink the contact and trench dimensions, Negative Tone Development (NTD) has become the de facto process at these layers. The NTD process uses a positive tone resist and an organic solvent-based negative tone developer which leads to improved image contrast, larger process window and smaller Mask Error Enhancement Factor (MEEF)[1]. The NTD masks have high transmission values leading to lens heating and as observed here wafer heating as well. Both lens and wafer heating will contribute to overlay error, however the effects of lens heating can be mitigated by applying lens heating corrections while no such corrections exist for wafer heating yet. Although the magnitude of overlay error due to wafer heating is low relative to lens heating; ever tightening overlay requirements imply that the distortions due to wafer heating will quickly become a significant part of the overlay budget. In this work the effects, analysis and observations of wafer heating on contact and metal layers of the 14nm node are presented. On product wafers it manifests as a difference in the scan up and scan down signatures between layers. An experiment to further understand wafer heating is performed with a test reticle that is used to monitor scanner performance.

Collaboration


Dive into the Woong Jae Chung's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge