Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yayi Wei is active.

Publication


Featured researches published by Yayi Wei.


Applied Physics Letters | 2001

Effect of catalyst film thickness on carbon nanotube growth by selective area chemical vapor deposition

Yayi Wei; Gyula Eres; Vladimir I. Merkulov; Douglas H. Lowndes

The correlation between prepatterned catalyst film thickness and carbon nanotube (CNT) growth by selective area chemical vapor deposition (CVD) was studied using Fe and Ni as catalyst. To eliminate sample-to-sample variations and create a growth environment in which the film thickness is the sole variable, samples with continuously changing catalyst film thickness from 0 to 60 nm were fabricated by electron-gun evaporation. Using thermal CVD CNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature. There appears to be no strong correlation between the film thickness and the diameter of the tubes. In contrast, using plasma enhanced CVD with Ni as catalyst, vertically oriented CNTs grow in the entire range of catalyst film thickness. The diameter of these CNTs shows a strong correlation with the catalyst film thickness...


Proceedings of SPIE | 2008

Evaluation of EUV resist materials for use at the 32 nm half-pitch node

Thomas Wallow; Craig Higgins; Robert Brainard; Karen Petrillo; Warren Montgomery; Chiew-seng Koay; Greg Denbeaux; Obert Wood; Yayi Wei

The 2007 International Technology Roadmap for Semiconductors (ITRS)1 specifies Extreme Ultraviolet (EUV) lithography as one leading technology option for the 32nm half-pitch node, and significant world wide effort is being focused towards this goal. Readiness of EUV photoresists is one of the risk areas. In 2007, the ITRS modified performance targets for high-volume manufacturing EUV resists to better reflect fundamental resist materials challenges. For 32nm half-pitch patterning at EUV, a photospeed range from 5-30 mJ/cm2 and low-frequency linewidth roughness target of 1.7nm (3σ) have been specified. Towards this goal, the joint INVENT activity (AMD, CNSE, IBM, Micron, and Qimonda) at Albany evaluated a broad range of EUV photoresists using the EUV MET at Lawrence Berkeley National Laboratories (LBNL), and the EUV interferometer at the Paul Scherrer Institut (PSI), Switzerland. Program goals targeted resist performance for 32nm and 22nm groundrule development activities, and included interim relaxation of ITRS resist performance targets. This presentation will give an updated review of the results. Progress is evident in all areas of EUV resist patterning, particularly contact/via and ultrathin resist film performance. We also describe a simplified figure-of-merit approach useful for more quantitative assessment of the strengths and weaknesses of current materials.


Journal of Applied Physics | 1997

AN EXPLORATORY STUDY OF THE CONDUCTION MECHANISM OF HYDROGENATED NANOCRYSTALLINE SILICON FILMS

Yuliang He; Yayi Wei; Guozhen Zheng; Minbin Yu; Min Liu

By using the ultrahigh vacuum plasma enhanced chemical vapor deposition system to prepare nc-Si:H films with high conductivity, the experimental results show that the conductivity of nc-Si:H films increases with decreasing the mean grain size of films. Hence, there exists a small size effect on the conduction process. Based on the experimental data, we used the effective-medium theory to calculate the partial conductivity σc of crystallites and σi of the interface conductivity, respectively. Otherwise, we found that there existed two structure phase change point results from the effective-medium theory calculated for the materials of silicon films. The results suggest that the high conductivity of nc-Si:H films results mainly from the crystallites, and moreover, the interface region may serve as insulator layers. Thus, we may consider that the crystallites in nc-Si:H films act as quantum dots. In this paper, we present a heteroquantum dot tunneling model to discuss the transport process for the nc-Si:H fi...


Applied Physics Letters | 1997

Single-electron transistor as an electrometer measuring chemical potential variations

Yayi Wei; J. Weis; Klaus von Klitzing; K. Eberl

The magnetic field dependence of the chemical potential of an electron system can be measured using a metallic single-electron transistor (SET). To demonstrate the method, a SET made of aluminum was fabricated on top of a GaAs/AlGaAs heterostructure containing a two-dimensional electron system (2DES). A change in the chemical potential of the 2DES causes a change in the contact voltage between the SET leads and the 2DES below the SET island which affects the current flow through the SET island. Tuning a voltage which is externally applied in series to the contact voltage, the change in the intrinsic contact voltage can be compensated to keep the SET current constant. With this tuning voltage, the change of the chemical potential by the magnetic field is directly measured. The method described here is applicable to other materials and other parameters affecting the intrinsic contact voltages.


Proceedings of SPIE | 2007

Chemically amplified resists resolving 25 nm 1:1 line: space features with EUV lithography

James W. Thackeray; Roger A. Nassar; Robert Brainard; Dario L. Goldfarb; Thomas Wallow; Yayi Wei; Jeff Mackey; Patrick Naulleau; Bill Pierson; Harun H. Solak

We have investigated a number of key resist factors using EUV lithography including activation energy of deprotection. Our standard high activation resist material, MET-2D (XP5271F), is capable of robust performance at CDs in 40 nm regime and thicknesses above 100 nm. Below 100 nm film thickness, controlling acid diffusion becomes a difficult challenge. We have also developed a low activation resist (XP6305A) which shows superior process window and exposure latitude at CDs in the 35 nm regime. This resist is optimal for 80 nm film thickness. Lastly, we have demonstrated 25 nm 1:1 resolution capability using a novel chemical amplification resist called XP6627. This is the first EUV resist capable of 25 nm resolution. The LER is also very low, 2.7 nm 3&sgr;, for the 25 nm features. Our first version, XP6627G, has a photospeed of 40 mJ/cm2. Our second version, XP6627Q, has a photospeed of 27 mJ/cm2. Our current focus is on improving the photospeed to less than 20 mJ/cm2. The outstanding resolution and LER of this new resist system raises the possibility of extending chemically amplified resist to the 22 nm node.


Journal of Vacuum Science & Technology B | 2000

Directed assembly of carbon nanotube electronic circuits by selective area chemical vapor deposition on prepatterned catalyst electrode structures

Yayi Wei; X. Fan; Gyula Eres

Electron-beam lithography was used to pattern Fe thin film electrode structures on silicon dioxide covered Si wafers. The Fe film also serves as a catalyst in subsequent chemical vapor deposition of carbon nanotubes. Chemical vapor deposition was performed in a stainless steel chamber using acetylene at a partial pressure of 100 mTorr and a substrate temperature of 660 °C. Transmission electron microscopy images reveal that the carbon nanotubes grown by this method are multiwalled. The carbon nanotubes selectively grow only on the catalyst film and eventually bridge the intentionally designed micron size electrode gap forming carbon nanotube electronic circuits. The resulting devices were characterized by electronic transport measurements in a temperature range from room temperature down to 2 K.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Selection and evaluation of developer-soluble topcoat for 193nm immersion lithography

Yayi Wei; Karen Petrillo; Stefan Brandl; Frank Goodwin; P. Benson; R. Housley; U. Okoroanyanwu

Successful developer-soluble topcoats have to fulfill numerous requirements; specifically they have to serve as a barrier layer and be compatible with the resist. Some of the requirements and compatibility issues have been understood; others are still under-investigation by the joint efforts of lithographers and resist chemists. This paper addresses these requirements from the perspective of overall lithographic performance for developer-soluble topcoats used in 193nm water immersion lithography. We demonstrate that with the optimized combination of resist and developer-soluble topcoat 90nm 1:1 dense lines can be printed using a prototype tool, ASML AT 1150i, and a binary image mask (BIM) with a maximum depth-of-focus (DOF) of ~1.2μm. An approximate 2X DOF improvement over dry lithography that was theoretically expected has been truly demonstrated. Topcoat related defectivity as well as defect reduction efforts are also discussed.


Proceedings of SPIE | 2011

Performance of tri-layer process required for 22 nm and beyond

Yayi Wei; Martin Glodde; Hakeem Yusuff; Margaret C. Lawson; Sang Yil Chang; Kwang Sub Yoon; Chung-Hsi Wu; Mark Kelling

Silicon-containing antireflection coating (SiARC) and spin-on carbon (SOC) under-layers have been widely implemented for advanced semiconductor manufacturing since the 45 nm node. The combination of SiARC and SOC promises a superior solution for reflection control and a high etch selectivity. With the industry marching towards 22 nm and beyond, the tri-layer materials and processes are being finely tuned to meet the requirements. We report comprehensive evaluation results of the SiARC (with high silicon content) and carbon under-layer from manufacturing perspective. It focuses on the performances that are required to extend the tri-layer applications from the original 45 nm nodes to 22 nm and beyond, such as thickness selection, etch selectivity, resist compatibility, rework capability, and under-layer pattern wiggling issues.


Journal of Vacuum Science & Technology B | 2007

Are extreme ultraviolet resists ready for the 32nm node

Karen Petrillo; Yayi Wei; Robert L. Brainard; Greg Denbeaux; Dario L. Goldfarb; Chiew-seng Koay; Jeff Mackey; Warren Montgomery; W. Pierson; Tom Wallow; O. R. Wood

The International Technology Roadmap for Semiconductors (ITRS) insertion point of extreme ultraviolet (EUV) lithography is the 32nm half-pitch node, and significant worldwide effort is being focused toward this goal. Potential road blocks have been identified and are being addressed. Readiness of EUV photoresists is one of the risk areas. According to the ITRS (www.itrs.net), a production-worthy EUV resist at 32nm half-pitch has to have a photospeed of ∼5mJ∕cm2 and line edge roughness (3σ) of 1.4nm. Toward this goal, the joint INVENT activity (AMD, CNSE, IBM, Micron, and Qimonda) at Albany has evaluated a broad range of EUV photoresists on various EUV exposure tools worldwide, including EUV MET at Lawrence Berkeley National Laboratory, EUV MET at SEMATECH Albany, and EUV interferometer at the Paul Scherrer Institute, Switzerland. This article will give a survey of the results, assessing the strengths and weaknesses of current materials.


Proceedings of SPIE | 2007

Performance of chemically amplified resists at half-pitch of 45 nm and below

Yayi Wei; Markus Bender; Wolf-Dieter Domke; Antje Laessig; Michael Sebald; Sven Trogisch; David Back

The chemically-amplified resists have been exposed by hyper-NA 193nm immersion and EUV lithography. Patterns with 45nm half-pitch and below are investigated for process windows and line-edge roughness. Although the 193nm immersion and EUV lithography have totally different optics, an overlap of the resolution capability is clearly observed around 45nm half-pitches. Both lithographic processes show comparable process windows for 45nm dense lines. The 193i resist better responds to its aerial image than that of the EUV resist. Although the EUV tool has the resolution capability down to 20nm half-pitch, immature resist process limits the current resolution to 35nm half-pitch.

Collaboration


Dive into the Yayi Wei's collaboration.

Top Co-Authors

Avatar

Lisong Dong

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Xiaojing Su

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Tianchun Ye

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Libin Zhang

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Yajuan Su

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Jiang Yan

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Lijun Zhao

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Zhiyang Song

Chinese Academy of Sciences

View shared research outputs
Researchain Logo
Decentralizing Knowledge