Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yiqun Wang is active.

Publication


Featured researches published by Yiqun Wang.


european solid-state circuits conference | 2012

A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops

Yiqun Wang; Yongpan Liu; Shuangchen Li; Daming Zhang; Bo Zhao; Mei-Fang Chiang; Yanxin Yan; Baiko Sai; Huazhong Yang

Nonvolatile processors offer a number of desirable properties including instant on/off, zero standby power and resilience to power failures. This paper presents a fabricated nonvolatile processor based on ferroelectric flip-flops. These flipflops are used in a distributed fashion and are able to maintain system states without any power supply indefinitely. An efficient controller is employed to achieve parallel reads and writes to the flip-flops. A reconfigurable voltage detection system is designed for automatic system backup during power failures. Measurement results show that this nonvolatile processor can operate continuously even under power failures occurring at 20 KHz. It can backup system states within 7μs and restore them within 3 μs. Such capabilities will provide a new level of support to chip-level fine-grained power management and energy harvesting applications.


design automation conference | 2015

Ambient energy harvesting nonvolatile processors: from circuit to system

Yongpan Liu; Zewei Li; Hehe Li; Yiqun Wang; Xueqing Li; Kaisheng Ma; Shuangchen Li; Meng-Fan Chang; Jack Sampson; Yuan Xie; Jiwu Shu; Huazhong Yang

Energy harvesting is gaining more and more attentions due to its characteristics of ultra-long operation time without maintenance. However, frequent unpredictable power failures from energy harvesters bring performance and reliability challenges to traditional processors. Nonvolatile processors are promising to solve such a problem due to their advantage of zero leakage and efficient backup and restore operations. To optimize the nonvolatile processor design, this paper proposes new metrics of nonvolatile processors to consider energy harvesting factors for the first time. Furthermore, we explore the nonvolatile processor design from circuit to system level. A prototype of energy harvesting nonvolatile processor is set up and experimental results show that the proposed performance metric meets the measured results by less than 6.27% average errors. Finally, the energy consumption of nonvolatile processor is analyzed under different benchmarks.


design, automation, and test in europe | 2012

A compression-based area-efficient recovery architecture for nonvolatile processors

Yiqun Wang; Yongpan Liu; Yumeng Liu; Daming Zhang; Shuangchen Li; Baiko Sai; Mei-Fang Chiang; Huazhong Yang

Nonvolatile processor has become an emerging topic in recent years due to its zero standby power, resilience to power failures and instant on feature. This paper first demonstrated a fabricated nonvolatile 8051-compatible processor design, which indicates the ferroelectric nonvolatile version leads to over 90% area overhead compared with the volatile design. Therefore, we proposed a compare and compress recovery architecture, consisting of a parallel run-length codec (PRLC) and a state table logic, to reduce the area of nonvolatile registers. Experimental results demonstrate that it can reduce the number of nonvolatile registers by 4 times with less than 1% overflow possibility, which leads to 43% overall processor area savings. Furthermore, we implemented the novel PRLC and defined the method to optimize the optimal parallel degree to accelerate the compressions. Finally, we proposed a reconfigurable state table architecture, which supports the reference vector selecting for different applications. With our heuristic vector selecting algorithm, the optimal vector can provide over 42% better register number reduction than other vector selecting approaches. Our method is also applicable to designs with other nonvolatile materials based registers.


IEEE Transactions on Very Large Scale Integration Systems | 2014

PaCC: A Parallel Compare and Compress Codec for Area Reduction in Nonvolatile Processors

Yiqun Wang; Yongpan Liu; Shuangchen Li; Xiao Sheng; Daming Zhang; Mei-Fang Chiang; Baiko Sai; Xiaobo Sharon Hu; Huazhong Yang

Nonvolatile (NV) processors have attracted much attention in recent years due to their zero standby power, resilience to power failures, and instant-on feature. One design challenge of NV processors is the excess area needed by NV registers. This paper introduces a parallel compare and compress (PaCC) architecture to reduce such excess area. A key component of the PaCC architecture is a new codec which effectively balances area and performance. In addition, the PaCC architecture includes a configurable state table to support reference vector selection for different applications. With the proposed vector selection algorithm, the PaCC architecture can outperform other vector selection approaches by over 59% in terms of reduction in the number of NV registers. The proposed architecture has been fully realized at the circuit level and synthesized for the Rohms 0.13-μm ferroelectric-CMOS hybrid process. Results demonstrate that the design can reduce the number of NV registers by 70%-80% with less than 1% overflow possibility, which leads to up to 30% processor area saving. The overall approach is applicable to any NV processor design regardless of the NV material used.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2016

Storage-Less and Converter-Less Photovoltaic Energy Harvesting With Maximum Power Point Tracking for Internet of Things

Yiqun Wang; Yongpan Liu; Cong Wang; Zewei Li; Xiao Sheng; Hyung Gyu Lee; Naehyuck Chang; Huazhong Yang

Energy harvesting from natural environment gives range of benefits for the Internet of things. Scavenging energy from photovoltaic (PV) cells is one of the most practical solutions in terms of power density among existing energy harvesting sources. PV power systems mandate the maximum power point tracking (MPPT) to scavenge the maximum possible solar energy. In general, a switching-mode power converter, an MPPT charger, controls the charging current to the energy storage element (a battery or equivalent), and the energy storage element provides power to the load device. The mismatch between the maximum power point (MPP) current and the load current is managed by the energy storage element. However, such architecture causes significant energy loss (typically over 20%) and a significant weight/volume and a high cost due to the cascaded power converters and the energy storage element. This paper pioneers a converter-less PV power system with the MPPT that directly supplies power to the load without the power converters or the energy storage element. The proposed system uses a nonvolatile microprocessor to enable an extremely fine-grain dynamic power management in a few hundred microseconds. This makes it possible to match the load current with the MPP current. We present detailed modeling, simulation, and optimization of the proposed energy harvesting system including the radio frequency transceiver. Experiments show that the proposed setup achieves an 87.1% of overall system efficiency during a day, 30.6% higher than the conventional MPPT methods in actual measurements, and thus a significantly higher duty cycle under a weak solar irradiance.


design, automation, and test in europe | 2013

SPaC: a segment-based parallel compression for backup acceleration in nonvolatile processors

Xiao Sheng; Yiqun Wang; Yongpan Liu; Huazhong Yang

Nonvolatile processor (NVP) has become an emerging topic in recent years. The conventional NV processor equips each flip-flop with a nonvolatile storage for data backup, which results in much faster backup speed with significant area overheads. A compression based architecture (PRLC) solved the area problem but with a nontrivial increasing on backup time. This paper provides a segment-based parallel compression (SPaC) architecture to achieve tradeoffs between area and backup speed. Furthermore, we use an off-line and online hybrid method to balance the workloads of different compression modules in SPaC. Experimental results show that SPaC can achieve 76% speed up against PRLC and meanwhile reduces the area by 16% against conventional NV processors.


international conference on computer aided design | 2009

Battery allocation for wireless sensor network lifetime maximization under cost constraints

Hengyu Long; Yongpan Liu; Yiqun Wang; Robert P. Dick; Huazhong Yang

Wireless sensor networks hold the potential to open new domains to distributed data acquisition. However, such networks are prone to premature failure because some nodes deplete their batteries more rapidly than others due to workload variations, non-uniform communication, and heterogenous hardware. Many-to-one traffic patterns are common in sensor networks, further increasing node power consumption heterogeneity. Most previous sensor network lifetime enhancement techniques focused on balancing power distribution, based on the assumption of uniform battery capacity allocation among homogeneous nodes. This paper gives a formulation and solution to the cost-constrained lifetime-aware battery allocation problem for sensor networks with arbitrary topologies and heterogeneous power distributions. An integer nonlinear programming formulation is given. Based on an energy-cost battery pack model and optimal node partitioning algorithm, a rapid battery pack selection heuristic is developed and its deviation from optimality is quantified. Experimental results indicate that the proposed technique achieves network lifetime improvements ranging from 3–11× compared to uniform battery allocation, with no more than 10 battery pack energy levels. The proposed technique achieves 2–5 orders of magnitude speedup compared to a general-purpose commercial nonlinear program solver, solution quality improves, and little approximation error is observed. Categories and Subject Descriptors H.4 [Information Systems Applications]: Miscellaneous; D.2.8 [Software Engineering]: Metrics-complexity measures, performance measures General Terms Wireless Communication, Resource Allocation


ACM Transactions in Embedded Computing Systems | 2017

Dynamic Power and Energy Management for Energy Harvesting Nonvolatile Processor Systems

Kaisheng Ma; Xueqing Li; Huichu Liu; Xiao Sheng; Yiqun Wang; Karthik Swaminathan; Yongpan Liu; Yuan Xie; Jack Sampson; Vijaykrishnan Narayanan

Self-powered systems running on scavenged energy will be a key enabler for pervasive computing across the Internet of Things. The variability of input power in energy-harvesting systems limits the effectiveness of static optimizations aimed at maximizing the input-energy-to-computation ratio. We show that the resultant gap between available and exploitable energy is significant, and that energy storage optimizations alone do not significantly close the gap. We characterize these effects on a real, fabricated energy-harvesting system based on a nonvolatile processor. We introduce a unified energy-oriented approach to first optimize the number of backups, by more aggressively using the stored energy available when power failure occurs, and then optimize forward progress via improving the rate of input energy to computation via dynamic voltage and frequency scaling and self-learning techniques. We evaluate combining these schemes and show capture of up to 75.5% of all input energy toward processor computation, an average of 1.54 × increase over the best static “Forward Progress” baseline system. Notably, our energy-optimizing policy combinations simultaneously improve both the rate of forward progress and the rate of backup events (by up to 60.7% and 79.2% for RF power, respectively, and up to 231.2% and reduced to zero, respectively, for solar power). This contrasts with static frequency optimization approaches in which these two metrics are antagonistic.


international symposium on circuits and systems | 2014

Register allocation for hybrid register architecture in nonvolatile processors

Yiqun Wang; Hongyang Jia; Yongpan Liu; Qingan Li; Chun Jason Xue; Huazhong Yang

Nonvolatile processors (NVP) have been an emerging topic in recent years due to its zero standby power, data retention and instant-on features. The conventional full replacement architecture in NVP has drawbacks of large area overhead and high backup energy. This paper provides a partial replacement based hybrid register architecture to significantly abate above problems. However, the hybrid register architecture can induce potential critical data loss and backup errors. In this paper, we propose a critical-data overflow aware register allocation (CORA). Different from other register allocation methods, CORA efficiently reduces the possibility of critical data spilling and backup errors. The experiment results show that CORA reduces the critical data overflow rate by up to 52%. The hybrid register architecture reduces the chip area by 45.1% and backup energy by 82.8% when using CORA.


asia and south pacific design automation conference | 2016

NVPsim: A simulator for architecture explorations of nonvolatile processors

Yizi Gu; Yongpan Liu; Yiqun Wang; Hehe Li; Huazhong Yang

Nonvolatile processors (NVPs) preserve run-time information when power failure occurs by utilizing nonvolatile memory technologies. This feature enables NVPs to make forward progress continuously under intermittent power supply in energy harvesting systems. This paper builds a gem5 based NVP simulator named NVPsim, which is validated against measured results of a fabricated prototype with reasonable error rate. Furthermore, to demonstrate the capability of NVPsim for architecture exploration, we evaluated performance and energy consumption of different NVP designs varying in the choice of nonvolatile memory for on-chip caches, the backup strategy and the energy buffer size. Experimental results indicate that nvSRAM outperforms other types of nonvolatile memory as the on-chip cache for energy harvesting systems.

Collaboration


Dive into the Yiqun Wang's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Shuangchen Li

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Xueqing Li

Pennsylvania State University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge