Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yoshio Gomei is active.

Publication


Featured researches published by Yoshio Gomei.


Applied Physics Letters | 1996

IMPROVEMENT OF THE YOUNG'S MODULUS OF SIC FILM BY LOW-PRESSURE CHEMICAL VAPOR DEPOSITION WITH B2H6 GAS

Kenichi Murooka; Iwao Higashikawa; Yoshio Gomei

SiC is one of the potential materials for use as an x‐ray mask substrate. It is preferable for an x‐ray mask substrate to have a large elastic modulus, so as to suppress any distortion of the extremely minute and precise patterns. The improvement of the Young’s modulus of polycrystalline SiC film using low‐pressure chemical vapor deposition with the introduction of B 2H6 in the source gas was investigated. The Young’s modulus increased with the addition of B2H6, and a maximum value of 600 GPa, which was 25% higher than in the case without B2H6, was reached at a source gas ratio B/Si=0.02. Two models which would possibly explain this phenomenon are discussed, and the theory which takes into account the interaction between carrier and ion core is found to provide a more plausible explanation of the results.


Journal of Vacuum Science & Technology B | 1998

130 nm and 150 nm line-and-space critical-dimension control evaluation using XS-1 x-ray stepper

Yuusuke Tanaka; Takao Taguchi; Kiyoshi Fujii; Shinji Tsuboi; M. Yamabe; Katsumi Suzuki; Yoshio Gomei; Tokushige Hisatsugu; M. Fukuda; H. Morita

Critical-dimension (CD) control for 130 and 150 nm line-and-space (L/S) patterns printed with the XS-1 x-ray stepper was evaluated using two kinds of resists: SAL606 and TDUR-N908. The largest factor in the CD variation was the nonuniformity of the x-ray dose, which was ±4.4% in a 20 mm×20 mm field. In replicated resist patterns, the CD variation due to mask-CD variation dropped to less than half the mask-CD variation because of Fresnel diffraction. For 130 nm L/S patterns, the CD variation for an 8-in.-diam wafer was 7.9 nm (3σ) for SAL606, and 12.0 nm (3σ) for TDUR-N908. For 150 nm L/S patterns, the values were 10.5 nm (3σ) and 14.6 nm (3σ), respectively. Although the major factors causing CD variation are dose nonuniformity and mask-CD variation, different resist materials reflect the effects of these factors to different degrees. The CD variation of 150 nm L/S patterns among seven wafers exposed on the same day was ±2.8 nm for SAL606 and ±3.7 nm for TDUR-N908, which is most likely due to fluctuations ...


Japanese Journal of Applied Physics | 2006

New Extreme Ultraviolet Irradiation and Multilayer Evaluation System for Extreme Ultraviolet Lithography Mirror Contamination in the NewSUBARU

Masahito Niibe; Yukinobu Kakutani; Shigeru Terashima; Hiromitu Takase; Yoshio Gomei; Shuichi Matsunari; Takashi Aoki; Katsuhiko Murakami; Yasuaki Fukuda

A new contamination evaluation system that can irradiate high-flux extreme ultraviolet (EUV) and measure, in situ, the reflectivity of multilayer mirrors for EUV lithography (EUVL) projection optics was constructed to develop a contamination inhibition mechanism at the NewSUBARU synchrotron radiation (SR) facility. The vacuum chambers of the systems are all metal sealed. All automatic stages in the system are driven by motors set outside the chambers. The optimum pressure of the chamber was 2 ×10-7 Pa, two orders of magnitude higher than that in the system reported last year. The partial pressure of the hydrocarbon components was also two orders of magnitude smaller than that in the previously reported system. In the first experiment using the system, the lifetime of Si-capped Mo/Si multilayer mirrors was evaluated as a function of water vapor pressure. The system can also be used to measure and map X-ray absorption near-edge structure (XANES) spectra in the irradiated area, which is very important for the in situ evaluation of the contamination mechanism.


Japanese Journal of Applied Physics | 1993

Mask Distortion Analysis for the Fabrication of 1 GBit Dynamic Random Access Memories by X-Ray Lithography

Alberto Moel; Masamitsu Itoh; Soichiro Mitsui; Yoshio Gomei

In order to fabricate 1 Gbit dynamic random access memories, or DRAMs, with 0.15 µm minimum features using X-ray lithography, the total overlay error must be no more than 0.05 µm. We assign 0.03 µm to the budget for mask distortion overlay error, which can be subdivided into fabrication-process-induced distortion (0.024 µm), fixturing-induced distortion (0.01 µm), and X-ray exposure-induced distortion (0.01 µm). We study, through theoretical models, these sources of distortion. In our mask-making process, a 75-mm-dia., 0.6-mm-thick Si wafer coated with 1-µm-thick SiC is direct-bonded to a 100-mm-dia., 4-mm-thick Si frame. Tungsten absorber is patterned on the SiC film, and then the wafer is back-etched to form the membrane window. The mask frame opening is used as the back-etching mask. We found that in order to meet the budget for the fabrication-process-induced distortion for a 46-mm-dia. SiC membrane (corresponding to the area of two 1 Gbit DRAM chips) and a target membrane stress of 1 × 109 dyn/cm2, the nonuniformity in the membrane stress or thickness must be under 2 % and the absorber stress no more than 5 × 107 dyn/cm2. High X-ray exposure power leads to a temperature rise of the mask. We found that as long as the X-ray exposures are performed in helium, the distortion in the printed image is within budget, even at high exposure intensities (340 mW/cm2 absorbed power). We also determine that a properly designed three-point mask-holding fixture is sufficient to meet the budget for fixturing induced distortion.


Japanese Journal of Applied Physics | 1991

The Effects of HCl Added to Chemical Vapor Deposition Source Gases for Producing a SiC X-Ray Mask Membrane

Kenichi Murooka; Masamitsu Itoh; Haruki Komano; Yoshio Gomei

The effects of adding HCl to the chemical vapor deposition source gases on the stress, optical transparency, and surface roughness of a SiC X-ray mask membrane were examined. It was found that the stress dependence on the source gas carbon-to-silicon ratio was changed by adding HCl, and that a SiC membrane with low stress and high optical transparency is obtainable by adjusting these parameters. The surface roughness was about 15 nm from peak to valley under a good condition. The X-ray diffraction results of the SiC membranes showed that the peak sharpness, which indicates the crystal qualities such as densities of various intrinsic defects and the size of each crystal grain, is related to the optical transparency, and that the crystal orientation parameter is related to the surface roughness.


Journal of Vacuum Science & Technology B | 2005

Scaling law in acceleration test of extreme ultraviolet lithography projection optics mirror contamination

Yoshio Gomei; Hiromitsu Takase; Takashi Aoki; Shuichi Matsunari; Shigeru Terashima; Yukinobu Kakutani; Masahito Niibe

A model to describe surface physics in extreme ultraviolet lithography (EUVL) optics mirror contamination is proposed. Photon-induced desorption is a key process in determining the surface areal density of the related adsorbed gas species when irradiating power is high. Scaling law for acceleration test is analyzed and experiment was performed by using synchrotron undulator radiation. It appears that oxidation on a Si capping layer is almost anti-linearly dependent on photon intensity and acceleration test can be conducted by increasing the H2O pressure linearly with photon intensity increase. In contrast, it was found that carbon deposition does not linearly scale to photon intensity. Special care is necessary in understanding results conducted in accelerated conditions as well as under different pulse duty conditions.


SPIE's 27th Annual International Symposium on Microlithography | 2002

ASET development of at-wavelength phase-shifting point diffraction interferometer

Katsumi Sugisaki; Yucong Zhu; Yoshio Gomei; Masahito Niibe

We have been studying phase-shifting point diffraction interferometry (PSPDI) as a technique evaluating extreme-ultraviolet (EUV) lithographic optics at the working wavelengths. In the PSPDI, the wavefront error of the test optic affects the measurement itself. One of these effects is that flare of a spot focused onto a pinhole of a PSPDI mask is mixed with a test beam as an optical noise. To mitigate the flare effect, we changed the PSPDI mask design and replaced the convex mirror of a test optic. The other effect is reducing the contrast of the interference fringe. To reduce the misalignment of the test optic, we have improved the accuracy of the PSPDI using visible light. Since the residual wavefront error of the test optic is not small enough for at-wavelength PSPDI measurement, we obtained an at-wavelength wavefront using a rather large second pinhole. The obtained EUV wavefront qualitatively agreed with the visible one.


Journal of Vacuum Science & Technology B | 1998

Low-dose exposure technique for 100-nm-diam hole replication in x-ray lithography

Kiyoshi Fujii; Yuusuke Tanaka; Takao Taguchi; M. Yamabe; Katsumi Suzuki; Yoshio Gomei; Tokushige Hisatsugu

In this article, we describe a new exposure technique for printing hole patterns with diameters of 100 nm or below in x-ray lithography. By using mask patterns approximately twice the size of the required resist patterns, 100-nm-diam holes can be replicated with doses less than those required to clear a large exposed area (D0) with a 20–30 μm gap. A 540-nm-thick UVII-HS resist was used for the exposure experiment. With a proximity gap of 20 μm, a 100-nm-diam hole was replicated with a 200-nm-diam mask pattern by exposing it with 0.56 D0 dose. Both the experimental and the simulation results indicated that this technique provides a higher resolution and a larger exposure latitude compared to normal-dose exposure. In terms of mask biasing, this technique corresponds to the mask bias optimization at doses below D0.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Study of ruthenium-capped multilayer mirror for EUV irradiation durability

Hiromitsu Takase; Shigeru Terashima; Yoshio Gomei; Masayuki Tanabe; Yutaka Watanabe; Takashi Aoki; Katsuhiko Murakami; Shuichi Matsunari; Masahito Niibe; Yukinobu Kakutani

The changes of chemical state and multilayer structure of Ru capped multilayer mirrors (MLMs) by irradiation of extreme ultraviolet (EUV) from synchrotron radiation (SR) were investigated using Auger electron spectroscopy (AES). It was found that irradiation induced Si diffusion and Si oxidation. Calculation of temperature distribution showed that Si diffusion was less relevant to temperature during irradiation.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Effect of residual gas atmosphere on lifetime of Ru-capped EUVL projection optics mirror

Yukinobu Kakutani; Masahito Niibe; Yoshio Gomei; Hiromitsu Takase; Shigeru Terashima; Shuichi Matsunari; Takashi Aoki; Katsuhiko Murakami; Yasuaki Fukuda

Reflectance changes during the EUV irradiation were in-situ measured using two different experimental systems. One system consisted of slight high hydrocarbon (HC) content chamber and the other consisted of low HC content chamber. Distribution maps of the reflectance changes were quite different from each other. Especially, the reflectance change at the center of the EUV irradiation area was suppressed when the high HC content system was used. The surface analysis using XPS was performed. According to the analysis, it was found that two reflectance changes were arising from different reasons. It would seem that the origin of the different reasons were difference of the residual gas atmosphere.

Collaboration


Dive into the Yoshio Gomei's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge