Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yukio Nishimura is active.

Publication


Featured researches published by Yukio Nishimura.


Journal of Vacuum Science & Technology B | 2006

Implementation of an imprint damascene process for interconnect fabrication

Gerard M. Schmid; Michael D. Stewart; Jeffrey Wetzel; Frank Palmieri; Jianjun Hao; Yukio Nishimura; Kane Jen; Eui Kyoon Kim; Douglas J. Resnick; J. Alexander Liddle; C. Grant Willson

Advanced integrated circuits require eight or more levels of wiring to transmit electrical signal and power among devices and to external circuitry. Each wiring level connects to the levels above and below it through via layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around 20 process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. Through use of a template with two tiers of patterning, a single imprint lithography step can replace two photolithography steps. Further improvements in efficiency are possible if the imprint material is itself a functi...


Advances in resist technology and processing. Conference | 2005

The effects of chemical gradients and photoresist composition on lithographically generated line edge roughness

Timothy B. Michaelson; Adam R. Pawloski; Alden Acheta; Yukio Nishimura; C. Grant Willson

Previous work has demonstrated the dependence of photoresist line edge roughness (LER) on the image-log-slope of the aerial image over a wide range of conditions; however, this relationship does not describe the influence of other factors such as photoresist composition or processing conditions on LER. This work introduces the concept of chemical gradients in the photoresist film rather than gradients in aerial image intensity as being a governing factor in the formation of photoresist LER. This concept is used to explain how differences in acid and base concentration in the photoresist lead directly to differences in observed LER. Numerous photoresist formulations were made over a wide range of compositions using 193 nanometer photoresist polymers as the basis. Experimental results coupled with results from simulation show that increasing the gradient of photoacid and hence increasing the gradient of protected polymer and the overall chemical contrast of the system reduces printed LER.


Progress in Biomedical Optics and Imaging - Proceedings of SPIE | 2005

Direct Imprinting of Dielectric Materials for Dual Damascene Processing

Michael D. Stewart; Jeffery T. Wetzel; Gerard M. Schmid; Frank Palmieri; Ecron Thompson; Eui Kyoon Kim; David Wang; Kane Jen; Stephen C. Johnson; Jianjun Hao; Michael D. Dickey; Yukio Nishimura; Richard M. Laine; Douglas J. Resnick; C. Grant Willson

Advanced microprocessors require several (eight or more) levels of wiring to carry signal and power from transistor to transistor and to the outside world. Each wiring level must make connection to the levels above and below it through via/contact layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around twenty process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. By using an imprint template with two levels of patterning, a single imprint lithography step can replace two photolithography steps. Further efficiencies are possible if the imprint resist material is itself a functional dielectric material. This work is a demonstration of the compatibility of imprint lithography (specifically SFIL) with back-end-of-line processing using a dual damascene approach with functional materials.


Journal of Micro-nanolithography Mems and Moems | 2006

Line edge roughness and intrinsic bias for two methacrylate polymer resist systems

Adam R. Pawloski; Alden Acheta; Harry J. Levinson; Timothy B. Michaelson; Andrew Thomas Jamieson; Yukio Nishimura; C. Grant Willson

Line edge roughness (LER) and intrinsic bias of 193-nm photoresist based on two methacrylate polymers are evaluated over a range of base concentration. Roughness is characterized as a function of the image log slope of the aerial image, the gradient in photoacid concentration, and the gradient in polymer protecting groups. Use of the polymer protection gradient as a characteristic roughness metric accounts for the effects of base concentration. Results demonstrate that a methacrylate terpolymer exhibits an advantage over the copolymer resist by achieving lower roughness at smaller values for the polymer protection gradient, resulting in lower LER for patterning. Intrinsic bias is found to be a function of the concentration of base. Process window analysis demonstrates that a greater depth of focus can be achieved for resists with low intrinsic bias. However, a tradeoff in depth of focus with LER is found. Spectral analysis indicates resists with greater intrinsic bias exhibit greater correlation lengths. Systems with greater intrinsic bias demonstrate lesser roughness for patterned features, with a minimum roughness achieved at maximum intrinsic bias. Kinetics of deprotection are modeled to calculate the chemical contrast of each resist. Resists exhibiting the greatest chemical contrast are identified as materials that generate the least roughness.


Proceedings of SPIE | 2007

Photocurable silicon-based materials for imprinting lithography

Jianjun Hao; Michael W. Lin; Frank Palmieri; Yukio Nishimura; Huang-Lin Chao; Michael D. Stewart; Austin Collins; Kane Jen; C. Grant Willson

Step and flash imprint lithography (SFIL) is low cost, high resolution patterning process and has found its way into a multitude of front end of the line (FEOL) and back end of the line (BEOL) applications. SFIL-R, a reverse tone variant of SFIL, and imprintable dielectrics are examples of such applications, and both require the design of specialized, silicon-based materials. Polyhedral oligomeric silsesquioxane (POSS) liquids were modified through a dual functionalization strategy to introduce photosensitive acrylate and thermally curable benzocyclobutane (BCB) groups to the molecule. The optimal functional group ratio was observed to be 3:5 acrylate to BCB, and the result was an imprintable dielectric with good mechanical properties and minimal post-exposure shrinkage. Thermal gravimetric analysis (TGA) revealed good thermal stability with minimal mass loss under annealing conditions of 400°C for 2 hours. Si-14 was designed to be a non-volatile, etch-resistant planarization layer for SFIL-R application. A polydimethylsiloxane (PDMS) derivative was modified to introduce acrylate functional groups and side branching for photosensitivity and low viscosity, respectively. Characterization of the material showed ideal planarization characteristics - low volatility (0.77 Torr at 25°C), low viscosity (15.1 cP), and minimal post-exposure shrinkage (5.1%).


Advances in Resist Technology and Processing XXI | 2004

IBM-JSR 193-nm negative tone resist: polymer design, material properties, and lithographic performance

Kaushal S. Patel; Margaret C. Lawson; Pushkara Rao Varanasi; David R. Medeiros; Gregory M. Wallraff; Phillip J. Brock; Richard Anthony DiPietro; Yukio Nishimura; Takashi Chiba; Mark Slezak

It has been previously proposed that negative-tone resist process would have an intrinsic advantage for printing narrow trench geometry. To demonstrate this for 193nm lithography, a negative resist with performance comparable to a leading positive resist is required. In this paper we report the joint development of a hexafluoroalcohol containing, 193nm, negative-tone, chemically amplified resist based on the crosslinking approach. Lithographic performance is presented which includes the ability of the negative-tone resist to print 90nm line/space and isolated trenches with standard resist processing. The impact of the fluorinated polymer on etch performance is also quantified. Finally, key resist characteristics and their influence on performance and limiting factors such as microbridging are discussed.


26th Annual International Symposium on Microlithography | 2001

193-nm single-layer resist materials: total consideration of design, physical properties, and lithographic performances on all major alicyclic platform chemistries

Toru Kajita; Yukio Nishimura; Masafumi Yamamoto; Hiroyuki Ishii; Akimasa Soyano; A. Kataoka; Mark Slezak; Makoto Shimizu; Pushkara Rao Varanasi; G. Jordahamo; Margaret C. Lawson; Rex Chen; William R. Brunsvold; Wenjie Li; Robert D. Allen; Hiroshi Ito; Hoa D. Truong; Thomas I. Wallow

The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.


Proceedings of SPIE | 2007

Various factors of the image blur in chemically amplified resist

Takanori Kawakami; Tomoki Nagai; Yukio Nishimura; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa

In the current optical lithography, the resolution is being pushed for 45 nm half-pitch, and the chemically amplified resist will be used for wide variety of applications including immersion lithography. So far the chemical amplification has brought high performance for lithography. In the future, for the ArF lithography beyond 45nm half-pith, it will be important to control pattern size. On the other hand, chemically amplified resist which utilized acid catalyzed deprotecting reaction is sensitive to physical and chemical factor. Thus, there are various factors in the each process (Resist coating, Pre bake, Exposure, Post exposure bake, Development and Rinse) to cause the resist blur. For example, its acid diffusion on PEB. The influence of these factors for the resist blur is a significant issue for lithography beyond 45 nm half-pitch. Therefore the need to reduce these factors on the resist blur becomes higher in order to extend the ArF lithography beyond 45 nm half-pith. In this paper, acid diffusion coefficient (D) and resist blur with changing anion size of PAG, size of protecting group in typical ArF resist was reported. The relationship between acid diffusion coefficient and resist blur was discussed on the basis of their difference in structure and characteristics.


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Proceedings of SPIE | 2009

Study of residue type defect formation mechanism and the effect of advanced defect reduction (ADR) rinse process

Hiroshi Arima; Yuichi Yoshida; Kousuke Yoshihara; Tsuyoshi Shibata; Yuki Kushida; Hiroki Nakagawa; Yukio Nishimura; Yoshikazu Yamaguchi

Residue type defect is one of yield detractors in lithography process. It is known that occurrence of the residue type defect is dependent on resist development process and the defect is reduced by optimized rinsing condition. However, the defect formation is affected by resist materials and substrate conditions. Therefore, it is necessary to optimize the development process condition by each mask level. Those optimization steps require a large amount of time and effort. The formation mechanism is investigated from viewpoint of both material and process. The defect formation is affected by resist material types, substrate condition and development process condition (D.I.W. rinse step). Optimized resist formulation and new rinse technology significantly reduce the residue type defect.

Collaboration


Dive into the Yukio Nishimura's collaboration.

Top Co-Authors

Avatar

Takashi Chiba

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Atsushi Nakamura

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Michael D. Stewart

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Frank Palmieri

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge