Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tsutomu Shimokawa is active.

Publication


Featured researches published by Tsutomu Shimokawa.


Journal of Molecular Catalysis A-chemical | 1998

Pd(II)-catalyzed addition polymerization and ring opening metathesis polymerization of alicyclic monomers: routes to new matrix resins for 193 nm photolithography

Uzodinma Okoroanyanwu; Tsutomu Shimokawa; Jeffrey D. Byers; C. Grant Willson

A series of alicyclic polymers designed for 193 nm photoresist applications have been synthesized and characterized. These polymers were synthesized by Pd(II)-catalyzed addition and ring opening metathesis polymerization techniques. Methods for removing residual metal complexes of Pd(II) and Ir(IV) from alicyclic polymers were developed. The low absorbance of these polymers at 193 nm and their high dry etch resistance make them attractive candidates for 193 nm lithography. When formulated with onium-type photoacid generators and plasticizers in propylene glycol monomethyl ether acetate, these photoresists have demonstrated high resolution and high sensitivity.


Proceedings of SPIE - The International Society for Optical Engineering | 1998

Improving the performance of 193-nm photoresists based on alicyclic polymers

Kyle Patterson; Uzodinma Okoroanyanwu; Tsutomu Shimokawa; Sungseo Cho; Jeff D. Byers; C. Grant Willson

This paper reports our work on a series of alicyclic polymer-based photoresist platforms designed for 193 nm lithography. The polymers described here were prepared from derivatives of norbornene and appropriate co-monomers by either free radical or ring opening metathesis polymerization methods. A variety of techniques were explored as a means of enhancing the lithographic, optical, dissolution, and mechanical properties of photoresists formulated from these alicyclic polymers. Recent studies designed to improve the lithographic performance of photoresists formulated with these materials are described.


Advances in resist technology and processing. Conference | 2005

Understanding quencher mechanisms by considering photoacid-dissociation equilibrium in chemically amplified resists

Seiji Nagahara; Lei Yuan; Wojtek J. Poppe; Andrew R. Neureuther; Yoshiyuki Kono; Atsushi Sekiguchi; Koichi Fujiwara; Tsuyoshi Gary Watanabe; Kazuo Taira; Shiro Kusumoto; Takanori Nakano; Tsutomu Shimokawa

The quencher mechanisms in Chemically-Amplified (CA) resists have been investigated. To explain the acid distribution with a variety of acid strengths in the presence of quencher, a new full Acid-Equilibrium-Quencher model (AEQ model) is proposed and examined in solid-model-CA-resist systems. To observe the reactions in the CA resists, real-time Fourier-Transform-Infrared Spectroscopy (FTIR) is employed during post-exposure bake (PEB). The FTIR peaks of the protection groups are detected to measure the reaction kinetics during PEB. The solid-model-CA resists used in this work consist of both a KrF-acetal-type resist with a diazomethane Photo-Acid Generator (PAG) (weaker-photoacid system) and an ArF-ester-type resist with a sulfonium-salt PAG (stronger-photoacid system). The obtained FTIR results are analyzed using conventional Full-Dissociation-Quencher model (FDQ model) and the new AEQ model. The kinetic analysis of the model resists was performed for different quencher loadings. For the weaker-photoacid system, the AEQ model much more accurately predicts the deprotection-reaction kinetics than the FDQ model with the change of quencher content. This suggests the necessity of introduction of the acid-dissociation concept in the case of the weaker photoacid. For the stronger-photoacid system, both the AEQ and conventional FDQ models adequately predict the kinetic results. This shows that the conventional FDQ model is accurate enough to simulate the super-strong photoacid system. Finally, the new AEQ model is introduced in the UC Berkeley STORM resist simulator. Some simulation examples are shown in the paper.


Advances in resist technology and processing. Conference | 1997

New single-layer positive photoresists for 193-nm photolithography

Uzodinma Okoroanyanwu; Tsutomu Shimokawa; Jeff D. Byers; David R. Medeiros; C. Grant Willson; Qingshang Jason Niu; Jean M. J. Fréchet; Robert D. Allen

New series of chemically amplified, single layer, positive tone photoresists for 193 nm lithography have been developed. These resists were formulated from a series of cycloaliphatic co- and terpolymers of 2-methyl propyl bicyclo(2.2.1)hept-2- ene-5-carboxylate (carbo-tert-butoxynorbornene), bicyclo(2.2.1)hept-2-ene carboxylic acid (norbornene carboxylic acid), 8-methyl-8-carboxy tetracyclo(4,4,0.12,5,17,10)dodec-3-ene (methyltetracyclododecene carboxylic acid), norbornenemethanol, and maleic anhydride, which were synthesized by free radical, vinyl addition and ring opening metathesis polymerization techniques. The polymers derived from ring opening metathesis polymerization have bee successfully hydrogenated to provide yet another member of this group of materials. The cycloaliphatic polymer backbones provide etch resistance, mechanical properties and stability to radiation. The lithographic function is provided by carefully tailored pendant groups, which include an acid functionality that is masked by protecting groups that undergo acid catalyzed thermolysis as well as polar groups that influence the adhesion, wetability and dissolution properties of the polymer. The polymers are soluble in common organic solvents and have glass transition temperatures ranging from less than 60 degrees Celsius to higher than 250 degrees Celsius depending on their specific structure and mode of polymerization. They are at least as transparent at 193 nm as the corresponding acrylics. Their dry etch resistance varies with the formulation, but the base polymers etch more slowly than novolac under conditions typically used to pattern polysilicon. Upon exposure and baking, the resists have demonstrated high sensitivities (9-25 mJ/cm2), and 0.16 micrometer features have bean resolved.


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

High-refractive-index fluids for the next-generation ArF immersion lithography

Yong Wang; Takashi Miyamatsu; Taiichi Furukawa; Kinji Yamada; Tetsuo Tominaga; Yutaka Makita; Hiroki Nakagawa; Atsushi Nakamura; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa; Katsuhiko Hieda

ArF immersion lithography using a high-refractive-index fluid (HIF) is considered to be a promising candidate for the 32nm node or below. At SPIE 2005 we introduced a new immersion fluid, JSR HIL-1, which has a refractive index and transmittance of 1.64 and >98%/mm (193.4nm, 23 oC), respectively. With HIL-1 immersion and a two beam interferometric exposure tool, hp32nm L/S imaging has been demonstrated. In this paper, we will report another novel immersion fluid, HIL-2, which has a transmittance of >99%/mm, which is almost as high as that of water, and a refractive index of 1.65 (193.4nm, 23 oC). Furthermore, an ArF laser irradiation study has shown that the degree of photodecomposition for both HIL-1 and HIL-2 is small enough for immersion lithography application. A fluid puddle defect study confirmed that HILs have less tendency to form immersion-specific photoresist defects and the refractive indices of HILs were found constant under laser irradiation. Batch-to-batch variation in refractive index during manufacture of HILs was not observed. By refining prism designs, hp30nm L/S patterns have also been successfully imaged with two interferometric exposure tools and HIL immersion.


Advances in resist technology and processing. Conference | 2005

Progress toward developing high performance immersion compatible materials and processes

Karen Petrillo; Kaushal S. Patel; Rex Chen; Wenjie Li; Ranee Kwong; Peggy Lawson; Rao Varanasi; Christopher F. Robinson; Steven J. Holmes; Dario Gil; Kurt R. Kimmel; Mark Slezak; Gary Dabbagh; Takashi Chiba; Tsutomu Shimokawa

To make immersion lithography a reality in manufacturing, several challenges related to materials and defects must be addressed. Two such challenges include the development of water immersion compatible materials, and the vigorous pursuit of defect reduction with respect to both the films and the processes. Suitable resists and topcoats must be developed to be compatible with the water-soaked environment during exposure. Going beyond the requisite studies of component leaching from films into the water, and absorption of water into the films, application-specific optimization of photoresists and top coats will be required. This would involve an understanding of how a wide array of resist chemistry and formulations behave under immersion conditions. The intent of this paper is to compare lithographic performance under immersion and dry conditions of resists containing different polymer platforms, protecting groups, and formulations. The compatibility of several developer-soluble top-coat materials with a variety of resists is also studied with emphasis on profile control issues. With respect to defects, the sources are numerous. Bubbles and particles created during the imaging process, material remnants from incomplete removal of topcoats, and image collapse as related to resist swelling from water infusion are all sources of yield-limiting defects. Parallel efforts are required in the material development cycle focusing both on meeting the lithographic requirements, and on understanding and eliminating sources of defects. In this paper, efforts in the characterization and reduction of defects as related to materials chemistry and processing effects will be presented.


Advances in resist technology and processing. Conference | 2005

Contact hole shrink process with novel chemical shrink materials

Takayoshi Abe; Tooru Kimura; Takashi Chiba; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa

Contact hole shrink process is becoming more important option for 45nm node design rules. In general, lithography of contact hole has been harder than that of line and space application due to the low contrast of incident light. The contact hole size for 45nm node device will be around 60nm and this hole size will be the limit of 193nm lithography. High NA exposure tool for 193nm lithography achieves 60nm contact hole resolution, but both under dose margin and depth of focus will be limited. This fact results in the insufficient process window of 193nm lithography. Thus some supporting process should be necessary and a chemical shrink process is one of the possible approach to resolve 60nm contact hole with appropriate process margin. The general chemical shrink process is as follows. Chemical Shrink Material (CSM) is coated on patterned photoresist, and following bake process controls chemical cross-linking reaction and forming a layer insoluble into the developer. As a result pattern size is reduced to desired CD. However current CSM has several issues: i.e. inferior etching durability of CSM than that of 193nm resist and pattern profile degradation after the process. This will be the critical problem for pattern transfer process using CSM. From this point of view, we developed a novel CSM which has good etching durability compared with 193nm resist and does not have a pattern profile degradation. This material consists of aromatic moiety to satisfy good etching durability. Also, the shrink rate and amount are not pitch dependent.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Application of high-refractive index fluid to KrF-immersion lithography

Yuji Yada; Koji Ito; Yoshikazu Yamaguchi; Taiichi Furukawa; Takashi Miyamatsu; Yong Wang; Katsuhiko Hieda; Tsutomu Shimokawa

This paper describes the material characteristics for KrF-immersion lithography with a high refractive index fluid. We have obtained promising results in soaking experiments involving KrF lithography without topcoat film. Although water is currently used as the immersion fluid in 193nm lithography, providing suitable refractive index (n=1.44@193nm and n=1.37@248nm) and transmittance (>99%/mm), it is found to have leaching issues when used with KrF resist. On the other hand, our high refractive index fluid (JSR-HIL-001), which was developed for ArF immersion purposes, satisfies the following requirements: HIL-001 has indicated promising characteristics as a 248nm-immmersion fluid. The refractive index is 1.54@248nm and the transmittance is >99%/mm. In this paper the physical and chemical properties of HIL-001 for KrF-immersion fluid application are discussed in detail.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Performance comparison of chemically amplified resists under EUV, EB and KrF exposure

Daisuke Shimizu; Nobuji Matsumura; Toshiyuki Kai; Yoshikazu Yamaguchi; Tsutomu Shimokawa; Koichi Fujiwara

EUV exposure is crucial to evaluate EUV resists but there are currently a limited number of EUV exposure tools available worldwide. Therefore, an alternative exposure method should be considered to accelerate EUV resist development. To design materials for EUV resist, it is useful to identify and characterize acid generation mechanisms under EUV exposure. To do this, a performance comparison under EUV, EB and KrF exposure was performed to gather information about the acid generation mechanism during EUV exposure. In this paper, the performance of chemically amplified resists under EUV, EB and KrF was compared regarding sensitivity, LWR and pattern-profile not only to consider alternative exposure methods but also to elucidate the acid generation mechanism under EUV exposure. Regarding sensitivity, good correlation was observed between EUV and EB exposure, however, in regard to LWR and resist pattern profile, poor correlation was observed between EUV and EB exposure, and between EUV and KrF exposure. As a result, alternative exposure methods could be used only for basic evaluation and it was determined that EUV exposure was necessary for EUV resist development using chemically amplified resist. From the correlation of sensitivity between EUV and EB exposure, it is suggested that the main acid generation mechanism under EUV exposure was ionization.

Collaboration


Dive into the Tsutomu Shimokawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Uzodinma Okoroanyanwu

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yukio Nishimura

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Atsushi Nakamura

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Takashi Chiba

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge