Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Zhonghe Jin is active.

Publication


Featured researches published by Zhonghe Jin.


Journal of Applied Physics | 1998

Nickel induced crystallization of amorphous silicon thin films

Zhonghe Jin; Gururaj A. Bhat; Milton Yeung; Hoi Sing Kwok; Man Wong

Nickel (Ni) induced crystallization of amorphous silicon (a-Si) has been studied by selective deposition of Ni on a-Si thin films. The a-Si under and near the Ni-covered regions was found to be crystallized after heat treatment at 500 °C from 1 to 90 h. Micro-Auger electron spectroscopy revealed that a large amount of Ni stayed in the region under the original Ni coverage, but no Ni was detected either in the crystallized region next to the Ni coverage or in the amorphous region beyond the front of the laterally crystallized Si. X-ray photoelectron spectroscopy revealed a nonuniform Ni distribution through the depth of the crystallized film under the original Ni coverage. In particular, a Ni concentration peak was found to exist at the interface of the crystallized Si and the buried oxide. It was found that a layer of 5-nm-thick Ni could effectively induce lateral crystallization of over 100 μm of a-Si, but the lateral crystallization rate was found to decrease upon extended heat treatment. Transmission e...


IEEE Transactions on Electron Devices | 2000

Characterization of the MIC/MILC interface and its effects on the performance of MILC thin-film transistors

Man Wong; Zhonghe Jin; Gururaj A. Bhat; P.C. Wong; Hoi Sing Kwok

Process and material characterization of the crystallization of amorphous silicon by metal-induced crystallization (MIC) and metal-induced lateral crystallization (MILC) using evaporated Ni has been performed. An activation energy of about 2 eV has been obtained for the MILC rate. The Ni content in the MILC area is about 0.02 atomic %, significantly higher than the solid solubility limit of Ni in crystalline Si at the crystallization temperature of 500/spl deg/C. A prominent Ni peak has been detected at the MILC front using scanning secondary ion mass spectrometry. The MIC/MILC interface has been determined to be highly defective, comprising a continuous grain boundary with high Ni concentration. The effects of the relative locations of this interface and the metallurgical junctions on TFT performance have been studied.


IEEE Electron Device Letters | 1999

Effects of longitudinal grain boundaries on the performance of MILC-TFTs

Gururaj A. Bhat; Zhonghe Jin; Hoi Sing Kwok; Man Wong

Compared to conventional solid phase crystallized (SPC) thin-film transistors (TFTs), metal induced laterally crystallized (MILC) TFTs exhibit significantly enhanced performance at reduced processing temperature. It is concluded that the major improvements in MILC-TFTs result from the growth of the crystal grains in a direction longitudinal to that of the current flow, whereas in SPC-TFTs, the grain boundaries are randomly oriented. It is also observed in this work that while the MILC-TFTs are less sensitive to short-channel effects (SCEs), their leakage current exhibits higher sensitivity to channel length reduction. These differences again can be traced to the different arrangements of the grain boundaries in the two types of devices.


IEEE Transactions on Electron Devices | 1999

The effects of extended heat treatment on Ni induced lateral crystallization of amorphous silicon thin films

Zhonghe Jin; Keith M. Moulding; Hoi Sing Kwok; Man Wong

The effects of extended heat treatment on the rate of metal induced lateral crystallization (MILC) of amorphous silicon (a-Si) were investigated. Orientation image microscopy and transmission electron microscopy were employed to reveal the crystallinity of the thin film and to measure the MILC length. It was found that for circular Ni disc patterns, the radial dimensions of the resulting MILC rings increased with the radii of the Ni discs. The longest MILC lengths were obtained from straight-edged Ni patterns, which effectively had infinite radii of curvature. The MILC rate decreased upon extended heat treatment. One reason is the continuously changing state of the a-Si during the treatment. An additional reason could be the diminishing supply of Ni from the Ni covered area. The contribution of both to the reduction of the MILC rate is discussed.


device research conference | 1998

The effects of MIC/MILC interface on the performance of MILC-TFTs

Gururaj A. Bhat; Zhonghe Jin; Hoi Sing Kwok; Man Wong

High mobility, low temperature polycrystalline silicon thin film transistors (poly-Si TFTs) potentially enable the integration of driver circuits and pixel transistors on the same glass panel for large area displays. Solid phase crystallized TFTs (SPC-TFTs) have been studied extensively at processing temperatures of about 600/spl deg/C. However, due to the presence of a large density of intra- and inter-granular traps, SPC-TFTs suffer from poor device performance, such as high threshold voltage, high leakage current and early kink effect. Metal-induced lateral crystallization (MILC) at 500/spl deg/C is an alternative technology for realization of TFTs. Due to the presence of large longitudinal grains and lower trap densities, these devices exhibit better performance than SPC-TFTs. With self-aligned deposition of the crystallization inducing metal, it is discovered that the behaviour of conventional MILC-TFTs is strongly influenced by the overlapping of the drain metallurgical junction and the MIC/MILC interface, which consists of a grain boundary and trapped metallic impurities. Detrimental effects of this overlap can be eliminated by separating the interface from the junction. In this work, the performance of SPC- and MILC-TFTs are compared, particularly with regard to scalability and the onset of the kink effect.


Applied Physics Letters | 1998

Floating Low-temperature Radio-frequency Plasma Oxidation of Polycrystalline Silicon-germanium

Zhineng Fan; Gang Zhao; Paul K. Chu; Zhonghe Jin; Hoi Sing Kwok; Man Wong

Low temperature oxide formation is an important process in the fabrication of thin-film transistors (TFT) used in active-matrix liquid crystal displays. However, low temperature oxide is prone to have defects at the SiO2/polycrystalline–SiGe interfaces. We have recently developed a novel rf (radio frequency) plasma oxidation method for polycrystalline SiGe (poly-SiGe) materials. The poly-SiGe wafers are oxidized in an oxygen rf plasma with the samples electrically floating. That is, the sample voltage is the same as the sheath potential of the floating wall and is always negative with respect to the bulk of the plasma since electrons have higher mobility than ions. The slightly negative potential on the wafers attracts low energy oxygen ions from the plasma and the resulting damage on the wafers is thus lower than that induced by the more commonly used and energetic electron cyclotron resonance (ECR) source. No deliberate heating is applied during oxidation since the samples are heated spontaneously by th...


Japanese Journal of Applied Physics | 1997

Solid-Phase Reaction of Ni with Amorphous SiGe Thin Film on SiO2

Zhonghe Jin; Gururaj A. Bhat; Milton Yeung; Hoi Sing Kwok; Man Wong

A study on the reaction of Ni and amorphous Si0.68Ge0.32 film on SiO2 is reported. The reaction was performed at 520° C in a conventional furnace. The resulting film was characterized using X-ray photoelectron spectroscopy (XPS) and Raman scattering spectroscopy. Ni induced crystallization of SiGe was confirmed by the Raman spectra. XPS results indicate Ni piled up at or near the interface of the crystallized SiGe and the SiO2 substrate. The small amount of Ni inside the SiGe layer exists in more of a silicide- or germanide-like form. Ni enhanced oxidation of SiGe was found during the reaction and the oxidized layer was found to be a mixture of oxides of Si and Ge, with Ge piling up at the surface.


IEEE Transactions on Electron Devices | 1997

Low-temperature annealing of polycrystalline Si/sub 1-x/Ge/sub x/ after dopant implantation

Zhonghe Jin; Bhat A. Gururaj; Milton Yeung; Hoi Sing Kwok; Man Wong

Hall effect measurement was employed to study the isothermal annealing of boron or phosphorus implanted polycrystalline Si/sub 1-x/Ge/sub x/ thin films, with x varying from 0.3-0.55. X-ray diffraction and cross-sectional transmission electron microscopy were used to study the crystal structure, whereas X-ray photoelectron spectroscopy was used to determine the film composition and the chemical bonding states of the elements. In low-temperature (/spl les/600/spl deg/C) annealing, the conductivity, the dopant activation, and the Hall effect mobility decreased during extended annealing. The effective activation of phosphorus was less than 20% and decreased with increasing Ge content. Boron activation could reach above 70%. It was also found that Si/sub 1-x/Ge/sub x/ could be oxidized at 600/spl deg/C in a conventional furnace even with N/sub 2/ protection, especially for phosphorus doped films with high Ge content. Consequently, a low-temperature SiO/sub 2/ capping layer is necessary during extended annealing.


Journal of The Electrochemical Society | 1997

Germanium Thin Film Formation by Low‐Pressure Chemical Vapor Deposition

Zhiguo Meng; Zhonghe Jin; Bhat A. Gururaj; Paul K. Chu; Hoi Sing Kwok; Man Wong

Thin films of polycrystalline germanium were formed by the pyrolysis of germane gas in a low-pressure reactor. Process parameters investigated were deposition temperature in the range 250 to 350°C and pressure in the range 300 to 600 mTorr. The properties of the film have been characterized using transmission electron microscopy and x-ray diffraction for structural analysis, atomic force microscopy for surface morphology analysis, secondary ion mass spectroscopy for compositional analysis, and Hall effect measurement for electrical parameter extraction, etc. High Hall effect mobility on the order of 300 cm 2 /V s was obtained, even at a relatively low deposition temperature of 300°. This makes the germanium thin films potentially very promising for low-temperature device processing.


Journal of Materials Research | 1997

On the formation of solid state crystallized intrinsic polycrystalline germanium thin films

Zhiguo Meng; Zhonghe Jin; Gururaj A. Bhat; Paul K. Chu; Hoi Sing Kwok; Man Wong

A two-step heat treatment process has been employed to crystallize low pressure deposited thin films of amorphous germanium. Large grain p -type polycrystalline germanium with a Hall effect hole mobility of greater than 300 cm 2 /Vs has been obtained. Films with near intrinsic conductivity, necessary for the construction of practical enhancement-mode insulated-gate thin film transistors, were obtained by introducing phosphorus as a compensating dopant. High Hall effect electron mobility of 245 cm 2 /Vs has been measured on the resulting n -type polycrystalline germanium thin films.

Collaboration


Dive into the Zhonghe Jin's collaboration.

Top Co-Authors

Avatar

Hoi Sing Kwok

Hong Kong University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Man Wong

Hong Kong University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Gururaj A. Bhat

Hong Kong University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Milton Yeung

Hong Kong University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Paul K. Chu

City University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Gang Zhao

City University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Zhineng Fan

City University of Hong Kong

View shared research outputs
Researchain Logo
Decentralizing Knowledge