Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Abbas Rastegar is active.

Publication


Featured researches published by Abbas Rastegar.


Proceedings of SPIE | 2011

Current status of EUV mask blanks and LTEM substrates defectivity and cleaning of blanks exposed in EUV ADT

Arun John Kadaksham; Byunghoon Lee; Matt House; Thomas Laursen; Brian Niekrewicz; Abbas Rastegar

The defectivity of EUV mask blanks remains as one of the key challenges in EUV lithography. Mask blank defects are a combination of defects or particles added on the substrate, added during MoSi multilayer deposition, and during subsequent handling. A recent upgrade to the Lasertec M7360 at SEMATECH has enabled us to detect new defects (sub-30 nm SEVD (Sphere Equivalent Volume Diameter)) on the substrate that were not previously detectable. In this paper, we report our recent investigation of defects on low thermal expansion material (LTEM) substrates and their creation and removal. Data obtained with atomic force microscope (AFM) imaging of defect topography, scanning electron microscope/energy-dispersive spectroscopy (SEM/EDS), and Auger characterization of defect composition is also discussed. Cleaning of mask particles which may have been added by handling in a clean room environment with the ASML Alpha Demo Tool (ADT) with and without static EUV exposure is discussed. Particle contamination on the backside of EUV masks can potentially impact overlay or focus during exposure. We have developed cleaning processes capable of removing backside defects without contaminating the front side of the masks. Backside defects are characterized by AFM, SEM/EDS, and auger microscopy and their topography and composition are presented.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

SEMATECH EUVL mask program status

Henry Yun; Frank Goodwin; Sungmin Huh; Kevin Orvek; Brian Cha; Abbas Rastegar; Patrick Kearney

As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been made but a continued collaborative effort will be needed along with timely infrastructure investments to meet these challenging goals.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Study of real defects on EUV blanks and a strategy for EUV mask inspection

Sungmin Huh; Abbas Rastegar; Stefan Wurm; Kenneth A. Goldberg; Iacopo Mochi; Toshio Nakajima; Masahiro Kishimoto; Mitsuhiko Komakine

The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In this paper, two EUV mask blanks with known native buried phase defects were characterized with a Lasertec M7360 (266 nm wavelength), atomic force microscope (AFM), and SEMATECHs actinic inspection tool (AIT), which is an EUV-wavelength microscope. The results show that there are various kinds of native defects on the mask blank. Not surprisingly, the surface height and measured EUV intensity profile of real blank defects can differ significantly from Gaussian-shaped defects. All defects found by the M7360 were observable in the AIT, yet many do not perturb the intensity enough to be printable in isolation. This paper shows that defects come in various sizes and types and clarifies what must be done to learn more about real defect printability to achieve defect-free mask blanks.


28th European Mask and Lithography Conference (EMLC 2012) | 2012

EUV mask defects and their removal

Abbas Rastegar; Vibhu Jindal

EUV mask defectivity is one of the challenges of realizing EUV lithography. EUV mask defects are a combination of substrate, multilayer blank, and absorber patterning defects. Each defect on the substrate or blank may be able to print depending on different factors. Therefore, at every stage of EUV mask manufacturing, care must be taken to control defectivity. This paper reviews EUV mask defectivity during manufacturing and use. Principles involved in EUV defect detection and sizing are discussed. With EUV, examining defects in a two dimensional (2D) space where defect detection can be correlated with defect printability predictions is most useful. To determine the critical defect size on a multilayer, existing printability prediction modeling can be used. However to calculate defect size on a substrate, detailed information about the multilayer deposition process is needed. Defects < 2 nm deep with a full width half maximum (FWHM) < 20 nm on the substrate will be completely smoothed by the current multilayer deposition processes in use at SEMATECH. Defects > 2 nm deep with a FWHM < 20 nm after multilayer deposition become wider but their depth remains constant (0.6 nm) regardless of their width on the substrate. Cleaning-induced pits will contribute to both low thermal expansion material (LTEM) and Ru-capped multilayer blank defectivity. Particles added by the cleaning tool and processes are another key contributor to EUV mask, blank and substrate defectivity. Changes in EUV reflectivity due to multiple cleanings are likewise critical. Cleaning chemistries will also etch the absorber lines and antireflecting coatings (ARCs), which in turn will alter the mask critical dimensions (CDs). Finally, cleaning the mask may increase its surface roughness, which may change the line edge roughness (LER).


Proceedings of SPIE | 2010

Particle removal challenges of EUV patterned masks for the sub-22nm HP node

Abbas Rastegar; Sean Eichenlaub; Arun John Kadaksham; Byunghoon Lee; Matt House; Sungmin Huh; Brian Cha; Henry Yun; Iacopo Mochi; Kenneth A. Goldberg

The particle removal efficiency (PRE) of cleaning processes diminishes whenever the minimum defect size for a specific technology node becomes smaller. For the sub-22 nm half-pitch (HP) node, it was demonstrated that exposure to high power megasonic up to 200 W/cm2 did not damage 60 nm wide TaBN absorber lines corresponding to the 16 nm HP node on wafer. An ammonium hydroxide mixture and megasonics removes ≥50 nm SiO2 particles with a very high PRE. A sulfuric acid hydrogen peroxide mixture (SPM) in addition to ammonium hydroxide mixture (APM) and megasonic is required to remove ≥28 nm SiO2 particles with a high PRE. Time-of-flight secondary ion mass spectroscopy (TOFSIMS) studies show that the presence of O2 during a vacuum ultraviolet (VUV) (λ=172 nm) surface conditioning step will result in both surface oxidation and Ru removal, which drastically reduce extreme ultraviolet (EUV) mask life time under multiple cleanings. New EUV mask cleaning processes show negligible or no EUV reflectivity loss and no increase in surface roughness after up to 15 cleaning cycles. Reviewing of defect with a high current density scanning electron microscope (SEM) drastically reduces PRE and deforms SiO2 particles. 28 nm SiO2 particles on EUV masks age very fast and will deform over time. Care must be taken when reviewing EUV mask defects by SEM. Potentially new particles should be identified to calibrate short wavelength inspection tools. Based on actinic image review, 50 nm SiO2 particles on top of the EUV mask will be printed on the wafer.


Proceedings of SPIE | 2010

EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

Y. David Chan; Abbas Rastegar; Henry Yun; E. Steve Putna; Stefan Wurm

Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working groups assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

A method to determine the origin of remaining particles after mask blank cleaning

Vivek Kapila; Sean Eichenlaub; Abbas Rastegar; Arun John; Pat Marmillion

Extreme ultraviolet lithography (EUVL) is a strong contender for the 32 nm generation and beyond. A defect-free mask substrate is an absolute necessity for manufacturing EUV mask blanks. The mask blank substrates are, therefore, cleaned with different cleaning processes to remove all defects down to 30 nm. However, cleaning suffers from the defects added by various sources such as the fab environment, chemicals, ultra pure water, and the cleaning process itself. The charge state of the substrate during and after cleaning also contributes to the number of adder defects on the substrate. The zeta potentials on the substrate surface and the defect particles generated during the cleaning process determine whether the particles get deposited on the surface. The zeta potential of particle or substrate surfaces depends on the pH of the cleaning fluids. Therefore, in this work, pH-zeta potential maps are generated for quartz substrates during the various steps of mask cleaning processes. The pH-zeta potential maps for defect particles commonly seen on mask substrates are measured separately. The zeta potential maps of substrate and contaminant particle surfaces are used to determine whether particles are attracted to or repulsed from the substrate. In practice, this technique is especially powerful for deriving information about the origin of particles added during a cleaning process. For example, for a known adder with a negative zeta potential, all cleaning steps with a positive zeta potential substrate could be the source of added particles.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Cleaning of MoSi multilayer mask blanks for EUVL

Vivek Kapila; Abbas Rastegar; Yoshiaki Ikuta; Sean Eichenlaub; Pat Marmillion

Extreme ultraviolet lithography (EUVL) is being considered as the enabler technology for the manufacturing of future technology nodes (30 nm and beyond). EUV mask blanks are Bragg mirrors made of Mo and Si bilayers and tuned for reflectivity at a wavelength λ ~13 nm. Implementation of EUVL requires that the mask blanks be free of defects at 30 nm or above. However, during the deposition of MoSi multilayers and later during the handling of blanks, defects are added to the blank. Therefore, the cleaning of EUV mask blanks is a critical step in the manufacturing of future devices. The particulate defects on the multilayer-coated mask blanks can either be embedded in or under the MoSi layers or adhered to the top capping layer during the deposition process. The defects can also be added during the handling of photomasks. Our previous studies have shown successful removal of the handling-related defects at SEMATECHs Mask Blank Development Center (MBDC) in Albany, NY. However, cleaning embedded and adhered defects presents new challenges. The cleaning method should not only be able to remove the particles, but also be compatible with the mask blank materials. This precludes the use of any aggressive chemistry that may change the surface condition leading to diminished mask blank reflectivity. The present work discusses the recent progress made at SEMATECHs MBDC in cleaning backside Cr-coated mask blanks with a MoSi multilayer and a Si cap layer on the top surface. Here we present our data that demonstrates successful removal of sub-100 nm particles added by the deposition process. Surface morphology and defect composition on the surface of the MoSi multilayer are discussed. EUV reflectivity measurements and atomic force microscopy (AFM) images of the mask blank before and after cleaning are presented. The present data shows that no measurable damage to the EUV mask blank is caused by the cleaning processes developed at the MBDC.


Proceedings of SPIE | 2014

Study of alternative capping and absorber layers for extreme ultraviolet (EUV) masks for sub-16nm half-pitch nodes

Abbas Rastegar; Matthew House; Ruahi Tian; Thomas Laursen; A. Antohe; Patrick Kearney

Multiple challenges, including the availability of a reliable high power source, defect free mask, and proper resist material, have forced extreme ultraviolet (EUV) lithography to be considered for sub-10 nm half-pitch nodes. Therefore, techniques such as phase shift masks (PSMs) or high numerical aperture (NA) lithography might be considered. Such techniques require thin EUV absorber materials to be optimized to reduce EUV mask shadowing effects. Despite the challenges in dry etching of Ni and finding proper chemistries with a high etch selectivity to suitable capping materials, we decided to examine the chemical stability of Ni for existing mask cleaning chemistries. Ni, after Ag, has the highest absorption in EUV light at λ = 13.5 nm, which makes it a proper candidate—in pure form or in mixing with other elements—for thin absorber film. Depending on the composition of the final material, proper integration schemes will be developed. We studied Ni stability in commonly used mask cleaning processes based on ammonium hydroxide/ hydrogen peroxide (APM) and water mixtures. Ni films deposited with an ion beam deposition technique with a thickness of 35 nm are sufficient to totally absorb EUV light at λ = 13.5 nm. Multiple cleanings of these Ni films resulted in Ni oxidation— confirmed by time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis as NiO with thickness about 1.5 nm. Furthermore, Ni oxidation processes are self-limiting and oxide layer thickness did not increase with a further cleaning. A three minute exposure to sulfuric acid/hydrogen peroxide mixture (SPM) can remove NiO and Ni totally. To protect Ni film from etching by SPM chemistry a 3 nm Si capping was used on top of Ni film. However, Si capping was removed by APM chemistry and could not protect Ni film against SPM chemistry. TiO2 may be a very good capping layer for EUV optics but it is not suitable for EUV mask blanks and will be removed by APM chemistries.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2011

Mask roughness challenges in extreme ultraviolet mask development

Patrick P. Naulleau; Brittany McClinton; Kenneth A. Goldberg; Iacopo Mochi; Abbas Rastegar

Mask roughness challenges in extreme ultraviolet mask development Patrick Naulleau, 1 Brittany McClinton, 2 Kenneth A. Goldberg, 1 Iacopo Mochi, 1 and Abbas Rastegar 3 Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Applied Sci. & Technol. Graduate Group, University of California, Berkeley, CA 94720 SEMATECH, Albany, NY 12203 Abstract Despite significant progress in the commercialization of extreme ultraviolet (EUV) lithography, many challenges remain. Although availability of a reliable high power source is arguably the most daunting of these challenges, important mask issues are also of major concern. The issues of EUV phase roughness that can arise from either multilayer or capping layer roughness has recently become of increasing concern. The problem with mask phase roughness is that it couples to image plane speckle and thus line-edge roughness (LER). The coupling, however, depends on many factors including roughness magnitude, roughness correlation length, illumination partial coherence, aberrations and defocus, and numerical aperture. Analysis shows that only on the order of 50 pm multilayer roughness may be tolerable at the 22-nm half-pitch node. The analysis, however, also shows that the difficulty does not scale with future node reductions. Moreover, it is found that ruthenium is a particularly bad choice for capping layer from the perspective of phase roughness and that cleaning damage in such a multilayer could lead to unacceptable image-plane LER. 1. Introduction Despite significant progress in the commercialization of extreme ultraviolet (EUV) lithography [1], important challenges remain. Although availability of a reliable high power source [2-4] is arguably the most daunting of these challenges, several mask issues are also of major concern. The most pressing and well known of these issues is mask defectivity [5]. Significant progress has been made in this area, but another two orders of magnitude defect reduction is still required to meet current pilot lines goals [5]. As described in the literature [6], as a work around to the availability of zero defect mask blanks, a variety of methods have been proposed including defect repair, defect

Collaboration


Dive into the Abbas Rastegar's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Iacopo Mochi

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge