Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sungmin Huh is active.

Publication


Featured researches published by Sungmin Huh.


Journal of Vacuum Science & Technology B | 2008

Actinic extreme ultraviolet mask inspection beyond 0.25numericalaperture

Kenneth A. Goldberg; Patrick P. Naulleau; Iacopo Mochi; Erik H. Anderson; Seno Rekawa; C. D. Kemp; R. F. Gunion; Hak-Seung Han; Sungmin Huh

The SEMATECH Berkeley actinic inspection tool (AIT) is an extreme ultraviolet (EUV)-wavelength mask inspection microscope designed for direct aerial image measurements and precommercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a charge coupled device camera. The authors present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100nm mask features and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and numerical aperture (NA) values up to 0.0875, emulating the spatial resolution of a 0.35NA 4× EUV stepper. Illumination uniformity is above 90% for mask areas 2μm wide and smaller. An angle-scanning mirror reduces the high ...


Lawrence Berkeley National Laboratory | 2008

Benchmarking EUV mask inspection beyond 0.25 NA

Kenneth A. Goldberg; Iacopo Mochi; Patrick P. Naulleau; Hak-Seung Han; Sungmin Huh

The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV zoneplate microscope dedicated to photomask research. Recent upgrades have given the AIT imaging system selectable numerical aperture values of 0.25, 0.30, and 0.35 (4 equivalent). The highest of which provides resolution beyond the current generation of EUV lithography research tools, giving above 75% contrast for dense-line features with 100-nm half-pitch on the mask, and above 70% for 88-nm half-pitch. To improve the imaging system alignment, we used through-focus images of small contacts to extract aberration magnitudes and compare with modeling. The astigmatism magnitude reached a low value of 0.08 waves RMS. We present the results of performance benchmarking and repeatability tests including contrast, and line width measurements.


Proceedings of SPIE | 2010

A study of defects on EUV masks using blank inspection, patterned mask inspection, and wafer inspection

Sungmin Huh; Liping Ren; David Chan; Stefan Wurm; Kenneth A. Goldberg; Iacopo Mochi; Toshio Nakajima; Masahiro Kishimoto; Byung-Sup Ahn; In-Yong Kang; Joo-On Park; Kyoungyong Cho; Sang-In Han; Thomas Laursen

The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The printability of defects and identification of their source from mask fabrication to handling were studied using wafer inspection. The printable blank defect density excluding particles and patterns is 0.63/cm2. Mask inspection is shown to have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus analysis and a different wafer stack.


Journal of Vacuum Science & Technology B | 2009

EUV pattern defect detection sensitivity based on aerial image linewidth measurements

Kenneth A. Goldberg; Iacopo Mochi; Patrick P. Naulleau; Ted Liang; Pei-Yang Yan; Sungmin Huh

As the quality of EUV-wavelength mask inspection microscopes improves over time, the image properties and intensity profiles of reflected light can be evaluated in ever-greater detail. The SEMATECH Berkeley Actinic Inspection Tool (AIT) is one such microscope, featuring mask resolution values that match or exceed those available through lithographic printing in current photoresists. In order to evaluate the defect detection sensitivity of the AIT for dense line patterns on typical masks, the authors study the linewidth roughness (LWR) on two masks, as measured in the EUV images. They report the through-focus and pitch dependence of contrast, image log slope, linewidth, and LWR. The AIT currently reaches LWR 3σ values close to 9nm for 175nm half-pitch lines. This value is below 10% linewidth for nearly all lines routinely measured in the AIT. Evidence suggests that this lower level may arise from the mask’s inherent pattern roughness. While the sensitivity limit of the AIT has not yet been established, it ...


Proceedings of SPIE | 2009

Improving the performance of the actinic inspection tool with an optimized alignment procedure

Iacopo Mochi; Kenneth A. Goldberg; Patrick P. Naulleau; Sungmin Huh

Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several highmagnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration magnitude reduction.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

SEMATECH EUVL mask program status

Henry Yun; Frank Goodwin; Sungmin Huh; Kevin Orvek; Brian Cha; Abbas Rastegar; Patrick Kearney

As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been made but a continued collaborative effort will be needed along with timely infrastructure investments to meet these challenging goals.


Proceedings of SPIE | 2009

Collecting EUV mask images through focus by wavelength tuning

Kenneth A. Goldberg; Iacopo Mochi; Sungmin Huh

Using an extreme-ultraviolet (EUV) microscope to produce high-quality images of EUV reticles, we have developed a new wavelength tuning method to acquire through-focus data series with a higher level of stability and repeatability than was previously possible. We utilize the chromatic focal-length dependence of a diffractive Fresnel zoneplate objective lens, and while holding the mask sample mechanically still, we tune the wavelength through a narrow range, in small steps. In this paper, we demonstrate the method and discuss the the relative advantages that this data collection technique affords.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Study of real defects on EUV blanks and a strategy for EUV mask inspection

Sungmin Huh; Abbas Rastegar; Stefan Wurm; Kenneth A. Goldberg; Iacopo Mochi; Toshio Nakajima; Masahiro Kishimoto; Mitsuhiko Komakine

The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In this paper, two EUV mask blanks with known native buried phase defects were characterized with a Lasertec M7360 (266 nm wavelength), atomic force microscope (AFM), and SEMATECHs actinic inspection tool (AIT), which is an EUV-wavelength microscope. The results show that there are various kinds of native defects on the mask blank. Not surprisingly, the surface height and measured EUV intensity profile of real blank defects can differ significantly from Gaussian-shaped defects. All defects found by the M7360 were observable in the AIT, yet many do not perturb the intensity enough to be printable in isolation. This paper shows that defects come in various sizes and types and clarifies what must be done to learn more about real defect printability to achieve defect-free mask blanks.


Journal of Vacuum Science & Technology B | 2008

Effects of mask absorber structures on the extreme ultraviolet lithography

Hwan-Seok Seo; Dong-Gun Lee; Hoon Kim; Sungmin Huh; Byung-Sup Ahn; Hak-Seung Han; Dong-Wan Kim; Seong-Sue Kim; Han-Ku Cho; Eric M. Gullikson

In this paper, the authors present the results of an investigation of the dependence of mask absorber thickness on the extreme ultraviolet lithography (EUVL) and suggest a new mask structure to minimize shadowing effects. For this purpose, several patterned masks with various TaN absorber thicknesses are fabricated using in-house Ru-capped EUVL mask blanks. According to the simulation using practical refractive indices, which are obtained at EUV wavelengths, the absorber thickness can be reduced to that of out-of-phase (ΔΦ=180°) ranges without loss of image contrast and normalized image log slope. Thickness to meet out-of-phase in real mask can be obtained by comparing field spectrum intensity ratio using the EUV coherent scattering microscopy (CSM). 52.4nm in thickness is close to ΔΦ=180° for TaN absorber since it shows the highest 1st/0th order intensity ratio as well as the best resolution in the microfield exposure tool (MET) test. When we apply 40-nm-thick TaN instead of 80-nm-thick TaN, the amounts of H-V bias reduction in wafer scale correspond to 80% (2.46–0.48nm) by CSM and 70% (2.23–0.65nm) by MET test results. Considering the fact that H-V bias in the MET is similar with that of simulation using the resist model, the degree of H-V bias in the alpha demo tool (ADT) is supposed to be much higher than that of MET due to its higher incident angle (θ=6°). Our final goal is to develop a thin absorber EUVL mask which has a low H-V bias, high EUV printability and DUV contrast, and sufficient optical density at the border. To achieve this, blind layer treatment and integration with anti-reflective coating layer are in progress.In this paper, the authors present the results of an investigation of the dependence of mask absorber thickness on the extreme ultraviolet lithography (EUVL) and suggest a new mask structure to minimize shadowing effects. For this purpose, several patterned masks with various TaN absorber thicknesses are fabricated using in-house Ru-capped EUVL mask blanks. According to the simulation using practical refractive indices, which are obtained at EUV wavelengths, the absorber thickness can be reduced to that of out-of-phase (ΔΦ=180°) ranges without loss of image contrast and normalized image log slope. Thickness to meet out-of-phase in real mask can be obtained by comparing field spectrum intensity ratio using the EUV coherent scattering microscopy (CSM). 52.4nm in thickness is close to ΔΦ=180° for TaN absorber since it shows the highest 1st/0th order intensity ratio as well as the best resolution in the microfield exposure tool (MET) test. When we apply 40-nm-thick TaN instead of 80-nm-thick TaN, the amounts ...


Proceedings of SPIE | 2009

Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology

Sungmin Huh; Patrick Kearney; Stefan Wurm; Frank Goodwin; Kenneth A. Goldberg; Iacopo Mochi; Eric M. Gullikson

The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. The successful production of defect-free masks will depend on the timely development of defect inspection tools, including both mask blank inspection tools and absorber pattern inspection tools to meet the 22 nm half-pitch node. EUV mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360 is operated at SEMATECHs Mask blank Development Center (MBDC) in Albany, with sensitivity to multilayer defects down to 40~45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for the next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. This paper will also discuss the kind of infrastructure that will be required in the development and mass production stages.

Collaboration


Dive into the Sungmin Huh's collaboration.

Top Co-Authors

Avatar

Iacopo Mochi

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge