Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Akira Sumitani is active.

Publication


Featured researches published by Akira Sumitani.


Applied Physics Letters | 2007

Enhancement of extreme ultraviolet emission from a CO2 laser-produced Sn plasma using a cavity target

Yoshifumi Ueno; Georg Soumagne; Akira Sumitani; Akira Endo; Takeshi Higashiguchi

We demonstrated enhancement of in-band conversion efficiency (CE) at 13.5nm of the extreme ultraviolet (EUV) emission from a tin (Sn) cavity target irradiated by a CO2 laser pulse. Whereas a planar Sn target produced an in-band CE of around 2%, the use of cavity targets significantly enhanced the EUV emission energy and the EUV CE. An EUV CE of 4% was observed for a Sn cavity target with a depth of 200μm which is one of the highest values ever reported.


Applied Physics Letters | 2008

Reduction of debris of a CO2 laser-produced Sn plasma extreme ultraviolet source using a magnetic field

Yoshifumi Ueno; Georg Soumagne; Akira Sumitani; Akira Endo; Takeshi Higashiguchi; Noboru Yugami

We demonstrated a fivefold reduction in Sn debris deposited on small Mo∕Si multilayer mirrors from a Sn planar target by applying a static magnetic field of 1T. The debris reduction is attributed to the decrease of more than three orders in the number of ions that reach the sample mirror due to their interaction with the applied magnetic field that guides the ions away from the mirror. The remaining deposition is due to neutral Sn atoms that do not interact with the applied magnetic field.


Proceedings of SPIE | 2007

Laser produced EUV light source development for HVM

Akira Endo; Hideo Hoshino; Takashi Suganuma; Masato Moriya; Tatsuya Ariga; Yoshifumi Ueno; Masaki Nakano; Takeshi Asayama; Tamotsu Abe; Hiroshi Komori; Georg Soumagne; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We develop a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a short pulse, high power, high repetition rate CO2 master oscillator power amplifier (MOPA) laser system and a Tin droplet target. A maximum conversion efficiency of 4.5% was measured for a CO2 laser driven Sn plasma having a narrow spectrum at 13.5 nm. In addition, low debris generation was observed. The CO2 MOPA laser system is based on commercial high power cw CO2 lasers. We achieve an average laser power of 3 kW at 100 kHz with a single laser beam that has very good beam quality. In a first step, a 50-W light source is developing. Based on a 10-kW CO2 laser this light source is scalable to more than 100 W EUV in-band power.


Proceedings of SPIE | 2008

LPP EUV light source employing high power C02 laser

Hideo Hoshino; Takashi Suganuma; Takeshi Asayama; Krzysztof Nowak; Masato Moriya; Tamotsu Abe; Akira Endo; Akira Sumitani

We are developing a high power CO2 laser system for a LPP EUV light source. Recent theoretical and experimental data demonstrate the advantages of the combination of a CO2 laser with a Sn target including the generation of a high CE and low debris plasma with low energy ions and low out-of-band radiation. Our laser system is a short pulse CO2 MOPA (Master Oscillator Power Amplifier) system with 22 ns pulse width and multi kW average power at 100 kHz repetition rate. We achieved an average laser power of 8 kW with a single laser beam having very good beam quality. A EUV in-band power of 60 W at the intermediate focus was generated irradiating a rotating tin plate with 6 kW laser power.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Billion-level durable ArF excimer laser with highly stable energy

Osamu Wakabayashi; Tatsuo Enami; Takeshi Ohta; Hirokazu Tanaka; Hirokazu Kubo; Toru Suzuki; Katsutomo Terashima; Akira Sumitani; Hakaru Mizoguchi

We have succeeded in the development of the ArF excimer laser with high performance and durability, by researching and developing of the spectral measurement and gas control technologies, laser chamber with radio frequency preionizer, the high repetition rate solid state pulse power module and the optimized highly durable optical module. As regards spectral measurement technologies, the true instrumental function of a monitor etalon have been measured by our developed 193nm coherent light source. Spectrum of ArF laser could be obtained precisely by deconvolution performed using the covolved spectrum of the ArF laser and the measured instrumental function of the monitor etalon. As for gas control technologies, the influence of impurities given to the ArF laser performance was bigger about 5-20 times compared with the KrF one. And we have paid attention that low concentration Xe gas has effect to the triple output energy. The durability test of 2 billion pulses has been done for the first time in the world. The developed ArF laser kept the integrated energy stability less than +/- 0.6 percent and spectral band-width of FWHM less than 0.8 pm. The result showed, developed laser has an enough performance for lithography even after the pulse number exceeds 2 billion pulses.


Japanese Journal of Applied Physics | 1999

Performance Improvement of a Discharge-Pumped ArF Excimer Laser by Xenon Gas Addition

Naoki Kataoka; Motoya Itagaki; Kiichiro Uchino; Katsunori Muraoka; Akihiko Takahashi; Tatsuo Okada; Mitsuo Maeda; Tsukasa Hori; Katsutomo Terashima; Akira Sumitani; Tatsuo Enami; Hakaru Mizoguchi

The effect of xenon (Xe) gas addition to a discharge-pumped ArF excimer laser was examined. When the partial pressure of the Xe gas was changed over a wide range, the output energy of the ArF excimer laser was found to become maximum at the Xe partial pressure of 20 mTorr and to be about three times higher than that without Xe addition. It was shown that Xe gas addition was effective in increasing the initial electron density which was produced in the preionization process, and that the increase of the initial electron density then produced a tendency to make the main discharge in the laser uniform in the direction of the optical axis.


Proceedings of SPIE | 2008

CO2 laser-produced Sn-plasma source for high-volume manufacturing EUV lithography

Akira Endo; Hideo Hoshino; Takashi Suganuma; Krzysztof Nowak; Tatsuya Yanagida; Takayuki Yabu; Takeshi Asayama; Yoshifumi Ueno; Masato Moriya; Masaki Nakano; Hiroshi Someya; Toshihiro Nishisaka; Tamotsu Abe; Georg Soumagne; Hiroshi Komori; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a high power, high repetition rate CO2 laser system, a tin target and a magnetic ion guiding for tin treatment. The laser system is a master oscillator power amplifier (MOPA) configuration. We have achieved an average laser output power of 10 kW at 100 kHz by a single laser beam with good beam quality. EUV in-band power equivalent to 60 W at intermediate focus was produced by irradiating a tin rotating plate with 6 kW laser power. This light source is scalable to more than 200 W EUV in-band power based on a 20-kW CO2 laser. Collector mirror life can be extended by using droplet target and magnetic ion guiding. Effectiveness of the magnetic ion guiding is examined by monitoring the motion of fast Sn ion in a large vacuum chamber with a maximum magnetic flux density of 2 T.


Proceedings of SPIE | 2011

Characterization and optimization of tin particle mitigation and EUV conversion efficiency in a laser produced plasma EUV light source

Tatsuya Yanagida; Hitoshi Nagano; Yasunori Wada; Takayuki Yabu; Shinji Nagai; Georg Soumagne; Tsukasa Hori; Kouji Kakizaki; Akira Sumitani; Junichi Fujimoto; Hakaru Mizoguchi; Akira Endo

A laser produced plasma (LPP) extreme ultraviolet (EUV) light source of 13.5 nm has been developed for next generation lithography. Sn plasma is an efficient generator of 13.5 nm EUV light. On the other hand, deposition of Sn particles which strongly affects EUV collector mirror lifetime is a critical issue for long-term stable operation of the high-power EUV light source. In this paper we describe about the optimization of tin debris mitigation with a compact EUV generation system. We observe almost all of Sn fragments generated after a pre-pulse irradiation are vaporized by a main CO2 pulse laser with a droplet of 20 μm in diameter. An EUV conversion efficiency (CE) of 3.4% at a maximum is obtained for the 20 μm droplet. These results indicate the debris mitigation can be achieved without degradation of the high EUV CE.


Proceedings of SPIE | 2007

CO2 laser-produced Sn plasma as the solution for high-volume manufacturing EUV lithography

Akira Endo; Tamotsu Abe; Hideo Hoshino; Yoshifumi Ueno; Masaki Nakano; Takeshi Asayama; Hiroshi Komori; Georg Soumagne; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a short pulse, high power, high repetition rate CO2 master oscillator power amplifier (MOPA) laser system and a Tin droplet target. A maximum conversion efficiency of 4.5% was measured for a CO2 laser driven Sn plasma having a narrow spectrum at 13.5 nm. In addition, low debris generation was observed. The CO2 MOPA laser system is based on commercial high power cw CO2 lasers. We have achieved an average laser power of 7 kW at 100 kHz by a single laser beam with good beam quality. In a first step, a 50-W light source is under development. Based on a 10-kW CO2 laser, this light source is scalable to more than 100 W EUV in-band power.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Characterization of tin vapor from CO2 laser produced EUV light source

Yoshifumi Ueno; Tatsuya Yanagida; Takashi Suganuma; Hiroshi Komori; Akira Sumitani; Akira Endo

We evaluated basic characteristics of energetic plasma ions and neutrals, and of low-energy fragments (e.g. evaporated material and liquid micro-droplets) from a Tin (Sn) plasma produced by a CO2 (10.6 m) or Nd:YAG (1064 nm) laser. Experiments were performed with free-standing liquid droplet, semi-fixed liquid droplet and fixed solid droplet targets. Characteristics of energetic plasma ions, neutrals and fragments were measured by Faraday Cups, laser-induced fluorescence (LIF) imaging and shadowgraph imaging, respectively. The Sn ions were emitted towards the laser incident direction with a velocity of 10 ~ 100 km/s (kinetic energy of 0.06 ~ 6 keV) and the fragments (the majority of the target material) ejected in the same direction as laser pulse at a velocity of 10 ~ 500m/s. The neutrals were emitted in all directions from the target with a velocity of 5 ~ 40 km/s (kinetic energy of 0.015 ~ 1 keV).

Collaboration


Dive into the Akira Sumitani's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yoshifumi Ueno

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge