Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hakaru Mizoguchi is active.

Publication


Featured researches published by Hakaru Mizoguchi.


Optics Letters | 2002

157-nm coherent light source as an inspection tool for F 2 laser lithography

Takashi Suganuma; H. Kubo; O. Wakabayashi; Hakaru Mizoguchi; K. Nakao; Yasuo Nabekawa; Takushi Togashi; Shun Watanabe

We have developed a 157-nm coherent light source by two-photon resonant four-wave mixing in Xe, with two tunable single-mode 1-kHz Ti:sapphire laser systems at 768 and 681 nm. This light source has been developed to determine the instrumental function of a vacuum ultraviolet spectrometer and to evaluate optical designs for ultra-line-narrowed F(2) laser lithography. The spectral linewidth of the source was less than 0.008 pm (FWHM), with an average power of 0.6 mW.


Proceedings of SPIE | 2013

LPP-EUV light source development for high volume manufacturing lithography

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Takeshi Ohta; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

Since 2002, we have been developing a CO2-Sn-LPP EUV light source, the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUV lithography. Because of its high efficiency, power scalability and spatial freedom around plasma, we believe that the CO2-Sn-LPP scheme is the most feasible candidate as the light source for EUVL. By now, our group has proposed several unique original technologies such as CO2 laser driven Sn plasma generation, double laser pulse shooting for higher Sn ionization rate and higher CE, Sn debris mitigation with a magnetic field, and a hybrid CO2 laser system that is a combination of a short pulse oscillator and commercial cw-CO2 amplifiers. The theoretical and experimental data have clearly demonstrated the advantage of combining a laser beam at a wavelength of the CO2 laser system with Sn plasma to achieve high CE from driver laser pulse energy to EUV in-band energy. Combination of CO2 laser power and droplet generator improvements on new EUV chamber (Proto-2) enables stable EUV emission. EUV burst operation data shows stable average 10.2W(clean power @ I/F) EUV emission and maximum 20.3W(clean power @ I/F) was demonstrated. For future HVM the maximum of 4.7% CE with a 20 μm droplet are demonstrated by ps pre-pulse LPP. Also reported 40kW CO2 laser development project cooperate with Mitsubishi electric.


Journal of Vacuum Science & Technology B | 2003

Laser-produced-plasma light source development for extreme ultraviolet lithography

Hiroshi Komori; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Yukihiko Sugimoto; Hiroshi Someya; Hideo Hoshino; Georg Soumagne; Yuichi Takabayashi; Hakaru Mizoguchi; Akira Endo; Koichi Toyoda; Yasuhiro Horiike

The development status of our laser produced plasma EUV light source is reported including the xenon jet system and the 500 W laser system. Laser parameter optimization, for example, laser pulse energy, pulse width, and laser spot size, is ongoing to improve the conversion efficiency and EUV output power. A maximum conversion efficiency of 0.53% is obtained with a 50 μm diam target. The EUV output stability is analyzed based on spatial fluctuations of the Xe jet and the laser beam. In addition, a Xe ion exposure measurement has been started to investigate the collector mirror damage mechanism.


Proceedings of SPIE | 2007

Laser produced EUV light source development for HVM

Akira Endo; Hideo Hoshino; Takashi Suganuma; Masato Moriya; Tatsuya Ariga; Yoshifumi Ueno; Masaki Nakano; Takeshi Asayama; Tamotsu Abe; Hiroshi Komori; Georg Soumagne; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We develop a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a short pulse, high power, high repetition rate CO2 master oscillator power amplifier (MOPA) laser system and a Tin droplet target. A maximum conversion efficiency of 4.5% was measured for a CO2 laser driven Sn plasma having a narrow spectrum at 13.5 nm. In addition, low debris generation was observed. The CO2 MOPA laser system is based on commercial high power cw CO2 lasers. We achieve an average laser power of 3 kW at 100 kHz with a single laser beam that has very good beam quality. In a first step, a 50-W light source is developing. Based on a 10-kW CO2 laser this light source is scalable to more than 100 W EUV in-band power.


Proceedings of SPIE | 2015

Performance of one hundred watt HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Georg Soumagne; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - “GL200E”. This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Billion-level durable ArF excimer laser with highly stable energy

Osamu Wakabayashi; Tatsuo Enami; Takeshi Ohta; Hirokazu Tanaka; Hirokazu Kubo; Toru Suzuki; Katsutomo Terashima; Akira Sumitani; Hakaru Mizoguchi

We have succeeded in the development of the ArF excimer laser with high performance and durability, by researching and developing of the spectral measurement and gas control technologies, laser chamber with radio frequency preionizer, the high repetition rate solid state pulse power module and the optimized highly durable optical module. As regards spectral measurement technologies, the true instrumental function of a monitor etalon have been measured by our developed 193nm coherent light source. Spectrum of ArF laser could be obtained precisely by deconvolution performed using the covolved spectrum of the ArF laser and the measured instrumental function of the monitor etalon. As for gas control technologies, the influence of impurities given to the ArF laser performance was bigger about 5-20 times compared with the KrF one. And we have paid attention that low concentration Xe gas has effect to the triple output energy. The durability test of 2 billion pulses has been done for the first time in the world. The developed ArF laser kept the integrated energy stability less than +/- 0.6 percent and spectral band-width of FWHM less than 0.8 pm. The result showed, developed laser has an enough performance for lithography even after the pulse number exceeds 2 billion pulses.


23rd Annual International Symposium on Microlithography | 1998

High-spectral-purity and high-durability kHz KrF excimer laser with advanced rf preionization discharge

Tatsuo Enami; Osamu Wakabayashi; Toshihiro Nishisaka; Natsushi Suzuki; Takashi Nire; Hakaru Mizoguchi; Hiroaki Nakarai; Hirokazu Tanaka; Tatsuya Ariga; Kouji Shio; Takeshi Okamoto; Ryoichi Nodomi; Hitoshi Tomaru; Kiyoharu Nakao

We present the performance and durability of the newest model of the KrF excimer laser for microlithography KLES-G10K. The laser achieves 10 W of output power with 0.7 pm bandwidth at 1000 Hz with newly developed solid state pulsed power module and the high precise narrowing module. The durability of laser tube achieves 5 billion pulses with the new radio frequency preionization scheme, which reduces consumption of fluorine gas and maintenance of laser tube drastically.


Japanese Journal of Applied Physics | 1999

Performance Improvement of a Discharge-Pumped ArF Excimer Laser by Xenon Gas Addition

Naoki Kataoka; Motoya Itagaki; Kiichiro Uchino; Katsunori Muraoka; Akihiko Takahashi; Tatsuo Okada; Mitsuo Maeda; Tsukasa Hori; Katsutomo Terashima; Akira Sumitani; Tatsuo Enami; Hakaru Mizoguchi

The effect of xenon (Xe) gas addition to a discharge-pumped ArF excimer laser was examined. When the partial pressure of the Xe gas was changed over a wide range, the output energy of the ArF excimer laser was found to become maximum at the Xe partial pressure of 20 mTorr and to be about three times higher than that without Xe addition. It was shown that Xe gas addition was effective in increasing the initial electron density which was produced in the preionization process, and that the increase of the initial electron density then produced a tendency to make the main discharge in the laser uniform in the direction of the optical axis.


Physics Research International | 2012

Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Junichi Fujimoto; Tsukasa Hori; Tatsuya Yanagida; Hakaru Mizoguchi

Since 2002, we have been developing a carbon dioxide (CO2) laser-produced tin (Sn) plasma (LPP) extreme ultraviolet (EUV) light source, which is the most promising solution because of the 13.5 nm wavelength high power (>200 W) light source for high volume manufacturing. EUV lithography is used for its high efficiency, power scalability, and spatial freedom around plasma. We believe that the LPP scheme is the most feasible candidate for the EUV light source for industrial use. We have several engineering data from our test tools, which include 93% Sn ionization rate, 98% Sn debris mitigation by a magnetic field, and 68% CO2 laser energy absorption rate. The way of dispersion of Sn by prepulse laser is key to improve conversion efficiency (CE). We focus on prepulsed laser pulsed duration. When we have optimized pulse duration from nanosecond to picosecond, we have obtained maximum 4.7% CE (CO2 laser to EUV; our previous data was 3.8%) at 2 mJ EUV pulse energy. Based on these data we are developing our first light source as our product: “GL200E.” The latest data and the overview of EUV light source for the industrial EUV lithography are reviewed in this paper.


Optics Letters | 2013

Multiline short-pulse solid-state seeded carbon dioxide laser for extreme ultraviolet employing multipass radio frequency excited slab amplifier

Krzysztof Nowak; Takeshi Ohta; Takashi Suganuma; Junichi Fujimoto; Hakaru Mizoguchi

In this Letter we describe in more detail a solid-state seeded, nanosecond pulse, multiline CO(2) oscillator designed and built for the extreme ultraviolet (EUV) laser-produced-plasma (LPP) source. Our oscillator featured quantum cascade laser seeders, a diffraction-type seed beam combiner, and a radio-frequency-discharge-excited, diffusion-cooled, slab-waveguide CO(2) gain cell in a compact multipass regenerative amplifier configuration. The oscillator generated pulses of exceptional stability in terms of envelope, energy, and spectrum. Excellent stability of output was achieved without any additional techniques. The output spectrum consisted of two laser lines of a 00(0)1-10(0)0 band of a CO(2) molecule, P20 and P22, with a target of four lines P18-P24. The pulse duration was electronically adjustable between 11 and 35 ns at a repetition frequency from a few hertz to hundreds of kilohertz. Electronic adjustment of the pulse duration was achieved by relative timing offsets of individual seeders, opening an avenue to a range of on-line adjustments of pulse shape and spectral content timing. The jitter-tolerant operation allows for easy synchronization with an external event, such as a droplet target in an EUV LPP source. A resistance to parasitic seeding of more than 40 dB was recorded. The oscillator produced up to 20 W of average output power at a repetition rate of 100 kHz in a near-diffraction-limited beam of M(2)<1.3 and a pointing stability below 50 μrad.

Collaboration


Dive into the Hakaru Mizoguchi's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge