Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tamotsu Abe is active.

Publication


Featured researches published by Tamotsu Abe.


Proceedings of SPIE | 2013

LPP-EUV light source development for high volume manufacturing lithography

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Takeshi Ohta; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

Since 2002, we have been developing a CO2-Sn-LPP EUV light source, the most promising solution as the 13.5 nm high power (>200 W) light source for HVM EUV lithography. Because of its high efficiency, power scalability and spatial freedom around plasma, we believe that the CO2-Sn-LPP scheme is the most feasible candidate as the light source for EUVL. By now, our group has proposed several unique original technologies such as CO2 laser driven Sn plasma generation, double laser pulse shooting for higher Sn ionization rate and higher CE, Sn debris mitigation with a magnetic field, and a hybrid CO2 laser system that is a combination of a short pulse oscillator and commercial cw-CO2 amplifiers. The theoretical and experimental data have clearly demonstrated the advantage of combining a laser beam at a wavelength of the CO2 laser system with Sn plasma to achieve high CE from driver laser pulse energy to EUV in-band energy. Combination of CO2 laser power and droplet generator improvements on new EUV chamber (Proto-2) enables stable EUV emission. EUV burst operation data shows stable average 10.2W(clean power @ I/F) EUV emission and maximum 20.3W(clean power @ I/F) was demonstrated. For future HVM the maximum of 4.7% CE with a 20 μm droplet are demonstrated by ps pre-pulse LPP. Also reported 40kW CO2 laser development project cooperate with Mitsubishi electric.


Emerging Lithographic Technologies IX | 2005

Magnetic field ion mitigation for EUV light sources

Hiroshi Komori; Yousuke Imai; Georg Soumagne; Tamotsu Abe; Takashi Suganuma; Akira Endo

Fast ions from laser-produced EUV plasma are expected to significantly damage the collector mirror, which is located near the plasma in a EUV light source. Ion sputtering of the multilayer structure may be the main damage mechanism but layer boundary mixing and surface roughness increase are also observed from a Xe plasma exposure experiment. The magnetic field ion mitigation technology was evaluated in order to extend the collector mirror lifetime. A coil pair that produces a maximum static magnetic field of 0.6 T on the coil axis was used for magnetic confinement of ions. Liquid Xe jets of 10 to 30 micron mater in diameter were used as a plasma target. Spatial distributions and energy distributions of ions were measured with Faraday cups and time-of-flight measurements respectively. The effectiveness of the magnetic field ion mitigation was evaluated by measuring the erosion rate with a quartz crystal microbalance. A significant decrease of the Faraday cup signal was monitored by applying a magnetic field of 0.6 T. Though target size dependence on magnetic field effectiveness was observed, measured erosion rate was reduced to less than 10% by applying 0.6-T magnetic field in the case of 10-micron mater Xe jet and 300-mJ Nd:YAG laser irradiation.


Journal of Vacuum Science & Technology B | 2003

Laser-produced-plasma light source development for extreme ultraviolet lithography

Hiroshi Komori; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Yukihiko Sugimoto; Hiroshi Someya; Hideo Hoshino; Georg Soumagne; Yuichi Takabayashi; Hakaru Mizoguchi; Akira Endo; Koichi Toyoda; Yasuhiro Horiike

The development status of our laser produced plasma EUV light source is reported including the xenon jet system and the 500 W laser system. Laser parameter optimization, for example, laser pulse energy, pulse width, and laser spot size, is ongoing to improve the conversion efficiency and EUV output power. A maximum conversion efficiency of 0.53% is obtained with a 50 μm diam target. The EUV output stability is analyzed based on spatial fluctuations of the Xe jet and the laser beam. In addition, a Xe ion exposure measurement has been started to investigate the collector mirror damage mechanism.


Proceedings of SPIE | 2007

Laser produced EUV light source development for HVM

Akira Endo; Hideo Hoshino; Takashi Suganuma; Masato Moriya; Tatsuya Ariga; Yoshifumi Ueno; Masaki Nakano; Takeshi Asayama; Tamotsu Abe; Hiroshi Komori; Georg Soumagne; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We develop a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a short pulse, high power, high repetition rate CO2 master oscillator power amplifier (MOPA) laser system and a Tin droplet target. A maximum conversion efficiency of 4.5% was measured for a CO2 laser driven Sn plasma having a narrow spectrum at 13.5 nm. In addition, low debris generation was observed. The CO2 MOPA laser system is based on commercial high power cw CO2 lasers. We achieve an average laser power of 3 kW at 100 kHz with a single laser beam that has very good beam quality. In a first step, a 50-W light source is developing. Based on a 10-kW CO2 laser this light source is scalable to more than 100 W EUV in-band power.


Proceedings of SPIE | 2008

LPP EUV light source employing high power C02 laser

Hideo Hoshino; Takashi Suganuma; Takeshi Asayama; Krzysztof Nowak; Masato Moriya; Tamotsu Abe; Akira Endo; Akira Sumitani

We are developing a high power CO2 laser system for a LPP EUV light source. Recent theoretical and experimental data demonstrate the advantages of the combination of a CO2 laser with a Sn target including the generation of a high CE and low debris plasma with low energy ions and low out-of-band radiation. Our laser system is a short pulse CO2 MOPA (Master Oscillator Power Amplifier) system with 22 ns pulse width and multi kW average power at 100 kHz repetition rate. We achieved an average laser power of 8 kW with a single laser beam having very good beam quality. A EUV in-band power of 60 W at the intermediate focus was generated irradiating a rotating tin plate with 6 kW laser power.


Emerging Lithographic Technologies VIII | 2004

Ion damage analysis on EUV collector mirrors

Hiroshi Komori; Georg Soumagne; Hideo Hoshino; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Akira Endo; Koichi Toyoda

Collector mirror lifetime evaluation and damage prevention are important technical challenge for the EUV light source development. High-energy xenon ions emitted from laser-produced EUV plasmas are expected to considerably damage the collector mirror of the light source. Related to future collector mirror lifetime considerations, fast ions from the laserproduced plasma have been characterized by time-of -flight (TOF) measurements. Using a low repetition rate 8-ns, 100- mJ Nd:YAG laser, Xe+ to Xe6+ ions were observed with Xe2+ being the main charge state. In addition, the effects of fast ions on Mo/Si multilayer mirrors have been studied using a Xe ion gun. Ion sputtering of the multilayer structure is the main damage mechanism but layer boundary mixing and surface roughness increase are also observed. A magnetic confinement scheme is evaluated for ion mitigation.


Proceedings of SPIE | 2015

Performance of one hundred watt HVM LPP-EUV source

Hakaru Mizoguchi; Hiroaki Nakarai; Tamotsu Abe; Krzysztof Nowak; Yasufumi Kawasuji; H. Tanaka; Yukio Watanabe; Tsukasa Hori; Takeshi Kodama; Yutaka Shiraishi; Tatsuya Yanagida; Georg Soumagne; Tsuyoshi Yamada; Taku Yamazaki; Shinji Okazaki; Takashi Saitou

We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - “GL200E”. This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.


Emerging Lithographic Technologies IX | 2005

Laser-produced-plasma light source for EUV lithography

Georg Soumagne; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Hiroshi Komori; Yuichi Takabayashi; Tatsuya Ariga; Yoshifumi Ueno; Yasunori Wada; Akira Endo; Koichi Toyoda

The status of the next generation lithography laser produced plasma light source development at EUVA is presented. The light source is based on a Xenon jet target and a Nd:YAG driver laser. The laser, having a master oscillator power amplifier (MOPA) configuration, operates at 10 kHz repetition rate and generates an average output power of 1.5 kW. The fwhm pulsewidth is 6 ns. The EUV system currently delivers an average EUV source power of 9.1 W (2% bandwidth, 2π sr) with a conversion efficiency of 0.6 %. Based on the development it is concluded that solid-state Nd:YAG laser technology can be cost efficiently used to produce 10 W level EUV light sources. In order to generate an average power of 115 W for a future extreme ultraviolet (EUV) light source, however, the cost of a Nd:YAG based LPP source will be too high. Therefore RF-CO2 laser technology will be used. The designed CO2 driver laser system has a MOPA configuration. The oscillator has ns-order pulsewidth and the laser system operates at a repetition rate of 100 kHz. Due to its inert cleanliness Xenon droplets will be the target material.


Japanese Journal of Applied Physics | 2004

Laser-Produced Plasma Light Source Development for Extreme Ultraviolet Lithography

Hiroshi Komori; Georg Soumagne; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Hiroshi Someya; Yuichi Takabayashi; Akira Endo; Koichi Toyoda

We present recent results of our laser-produced plasma light source development for next-generation lithography. The plasma target of the extreme ultraviolet (EUV) source system is a liquid xenon jet and the driver laser is a 600 W Nd:YAG laser operating at a repetition rate of 10 kHz. A EUV output power of 2.2 W at 13.5 nm (2% bandwidth, 2π sr) having a stability of 0.72% (1σ, 50-pulse moving average) has been achieved. Related to future collector mirror lifetime considerations, fast ions from the laser-produced plasma have been characterized by time-of-flight (TOF) measurements. Using a low repetition rate 8-ns, 100-mJ Nd:YAG laser Xe+ to Xe6+ ions were observed with Xe2+ being the main charge state. In addition, the effects of fast ions on Mo/Si multilayer mirrors have been studied using a Xe ion gun. Ion sputtering of the multilayer structure is the main damage mechanism but layer boundary mixing and surface roughness increase are also observed.


ieee international conference on fuzzy systems | 1995

Motion planning for a redundant manipulator by genetic algorithm using an evaluation function extracted from skilled operators

Takanori Shibata; Tamotsu Abe; K. Tanie; Matsuo Nose

This paper proposes a motion planning method to cut a three dimensional workpiece by a redundant manipulator with six degrees of freedom. The method applies a genetic algorithm to optimize rotational angles of the end-effector on a path. For a fitness function, an evaluation function is defined based on references from skilled operators. The proposed method reduces the operators labor, so that he only has to determine a path without considering redundant parameters. Simulations show the effectiveness of the proposed method.<<ETX>>A motion planning method is proposed for cutting a three dimensional workpiece by a redundant manipulator with six degrees of freedom. The method applies a genetic algorithm to optimize the rotational angles of the end-effector on a path. For a fitness function, an evaluation function is defined based on references from skilled operators. Using the proposed method the operator only has to determine a path without considering the redundant parameters. Simulations show the effectiveness of the proposed method.<<ETX>>

Collaboration


Dive into the Tamotsu Abe's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge