Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Alexander I. Ershov is active.

Publication


Featured researches published by Alexander I. Ershov.


Journal of Micro-nanolithography Mems and Moems | 2009

Performance results of laser-produced plasma test and prototype light sources for EUV lithography

N. Böwering; Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alexander I. Ershov; William N. Partlo; Dave Myers; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Improved performance and specific results are reported for several test and prototype extreme ultraviolet EUV light sources devel- oped for next-generation lithography. High repetition rate and high-power CO2 laser-produced plasma sources operating on tin droplet targets are described. Details of laser architecture, source chambers and system operation are given. Stable output power, efficient light collection, and clean EUV transmission could be achieved for hours of operation. We review progress during integration of light sources with collector mirrors reaching EUV power levels at intermediate focus of 60 W and 45 W, respectively, with duty cycles of 25% and 40%. Far-field EUV images of the collected light were recorded to monitor the source output perfor- mance during extended tests of collector longevity and debris protection with system operation time exceeding 50 h. Development results on EUV spectra, out-of-band OOB radiation, and ion debris obtained with dedicated metrology setups are also described. Angle-resolved mea- surements with ion energy analyzer and Faraday cups reveal the contri- butions of individual ion charge states in related spectra. Our laser- produced EUV light source technology has now reached a level of maturity in full integration where prototype sources can be delivered and pilot line introduction can be prepared.


Emerging Lithographic Technologies IX | 2005

LPP EUV conversion efficiency optimization

Jerzy R. Hoffman; Alexander N. Bykanov; Oleh V. Khodykin; Alexander I. Ershov; N. Böwering; Igor V. Fomenkov; William N. Partlo; Dave Myers

Efficient conversion of laser light into EUV radiation is one of the most important problems of the laser-produced plasma (LPP) EUV source. Too low a conversion efficiency (CE) increases the amount of power the drive laser will have to deliver, which, besides the obvious laser cost increase, also increases the thermal load on all the components and can lead to increased debris generation. In order to meet the requirements for a high-volume manufacturing (HVM) tool and at the same time keep the laser power requirements within acceptable limits, a CE exceeding 2.5% is likely to be required. We present our results on optimizing conversion efficiency of LPP EUV generation. The optimization parameters include laser wavelength, target material, and laser pulse shape, energy and intensity. The final choice between parameter sets that leads to the required minimum CE is dependent on the debris mitigation solutions and the laser source available for a particular parameter set.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Alexander I. Ershov; Gunasiri G. Padmabandu; Jeremy D. Tyler; Palash P. Das

The use of higher NA lenses of next generation 248 nm microlithography system sets tight requirements on the spectral purity of the laser, especially because these lenses are not chromatically corrected. Present day KrF excimer lasers are equipped with etalon-based spectrometers that can measure the laser linewidth at full-width-at-half maximum, at nearly every pulse. Both, experience and analysis have shown that the (Delta) (lambda) FWHM may not be the optimum measure of laser spectral purity, and that a better characterization would be the width of the line that contains 95 percent of the laser energy, (Delta) (lambda) 95 percent int. Therefore, the lithography is at risk of losing the image quality if the line shape, characterized by (Delta) (lambda) 95 percent int is outside its limit, even if the laser signals that the (Delta) (lambda) 95 percent measurements of laser line shape. The measurements can be done on a pulse-to-pulse basis or with averaging over an exposure window. Several different configurations and their comparable analysis are presented. These new spectrometers are compact, and can be integrated with a deep UV laser or used as a portable field service tool Despite the small size, the spectrometers have a resolution of about 0.1 pm when measuring FWHM values and about 0.3 pm when measuring 95 percent integral values. The implementation of these new metrology tools provides the lithography with a correct measure of the laser spectral purity during exposure and during process optimization.


Journal of Micro-nanolithography Mems and Moems | 2007

Plasma cleaning of lithium off of collector optics material for use in extreme ultraviolet lithography applications

M. J. Neumann; Reece A. DeFrees; Huatan Qiu; David N. Ruzic; Oleg V. Khodykin; Alexander I. Ershov; Robert Bristol

One of the critical issues within extreme ultraviolet lithography is mirror lifetime and the degradation due to debris from the pinch. This research investigated and showed the efficacy of using a helium secondary plasma and heat for removal of Li debris from collecting on the surface of collector optics. A He helicon plasma, which minimizes self-biasing and sputtering, has good extreme ultraviolet (EUV) photon wavelength transmission and preferential sputtering of lithium compared to other collector optics material. Through the combined use of heating and a He secondary plasma, EUV collector sample surface roughness and surface composition was able to be maintained near as-received status. The use of the He secondary plasma while the collector optics sample is exposed to Li debris shows promise as an in situ cleaning process for collector optics and can extend the lifetime of collector optics.


Metrology, inspection, and process control for microlithography. Conference | 2005

Metrology of laser-produced plasma light source for EUV lithography

N. R. Boewering; Jerzy R. Hoffman; Oleh V. Khodykin; Curtis L. Rettig; Bjorn A. M. Hansson; Alexander I. Ershov; Igor V. Fomenkov

Metrology concepts and related results are discussed for characterization of extreme ultraviolet (EUV) light sources based on laser-produced plasmas using metal foil and droplet targets. Specific designs of narrow-band EUV detectors employing multilayer mirrors and broadband detectors for droplet steering are described. Spatially resolved plasma imaging using in-band EUV pinhole cameras is discussed. A grazing-incidence flat-field EUV spectrometer is described that has been employed for spectroscopy in the 6 nm - 22 nm range. In addition, spectroscopic data of out-of-band radiation in the ultraviolet and visible spectral regions are presented. Results obtained for different wavelengths of the incident laser radiation and for both tin- and lithium foil- and droplet- targets are discussed.


Emerging Lithographic Technologies IX | 2005

Protection of collector optics in an LPP based EUV source

Curtis L. Rettig; Oleh V. Khodykin; Jerzy R. Hoffman; William F. Marx; N. Böwering; E. Vargas; Alexander I. Ershov; Igor V. Fomenkov; William N. Partlo

In a laser produced plasma (LPP) EUV source the multilayer mirror (MLM) collector optic will be exposed to a flux of energetic ions and neutral atoms ejected from the plasma as well as condensable vapor from excess target material. We are investigating various techniques for reducing the contamination flux and for in-situ removal of the contamination. The protection strategies under investigation must be compatible with gaseous and condensable target materials such as Xe, Sn, In, Li, and other elements. The goal is to develop MLM structures that can withstand elevated temperatures and develop protective barrier coatings that reduce erosion of the mirror surface. Results of MLM exposure to energetic ion beams and thermal atomic sources are presented. Changes in EUV reflectivity of MLM structures after exposure to ions and deposition of target material have been performed on samples cleaned by these developmental processes. In this paper, we will summarize our initial results in these areas and present techniques for mitigation of MLM damage from the source.


Optical Microlithography XVI | 2003

Dual-chamber ultra line-narrowed excimer light source for 193 nm lithography

Vladimir B. Fleurov; Daniel J. Colon; Daniel J. W. Brown; Patrick O'Keeffe; Herve A. Besaucele; Alexander I. Ershov; Fedor Trintchouk; Toshihiko Ishihara; Paolo Zambon; Robert J. Rafac; Alexei Lukashev

Since the announcement in March 2002 of plans to develop an advanced light source to meet the future spectral power and cost requirements of photolithography, we have made significant progress in the development and productization of the core technology for an ultra line-narrowed, excimer light source based on a master oscillator-power amplifier (MOPA) approach. In this paper, we will focus on the architecture and performance of the first generation of production-ready, MOPA-based ArF light sources developed at Cymer, Inc. This first generation of MOPA-based ArF light sources is referred to as the XLA 100 product series.


Plasma Sources Science and Technology | 2007

Atomic oxygen densities in a downstream microwave O2/Ar plasma source

Alexander I. Ershov; Jacek Borysow

An intense source of atomic oxygen is reported in this paper. Oxygen atoms were produced in an Ar/O2, 2.45 GHz, microwave discharge at flow rates ranging from 10 to 150 sccm and pressures from 0.5 to 4 Torr. The absolute flow rate of atomic oxygen peaked at 70 sccm which corresponded to a fractional dissociation close to 0.5. The atomic oxygen flow rate was measured using a titration method with NO2 gas. It was also shown that the emission ratio from the 3p3P state of an oxygen atom at 844.6 nm and from the 3p54p state of an argon atom at 750.4 nm was strongly correlated with oxygen atom to argon concentrations at some experimental conditions, e.g. modest Ar/O2 flow ratios.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Performance of very high repetition rate ArF lasers

Jean-Marc Hueber; Herve A. Besaucele; Palash P. Das; Rick Eis; Alexander I. Ershov; Vladimir B. Fleurov; Dmitri V. Gaidarenko; Thomas Hofmann; Paul C. Melcher; William N. Partlo; Bernard K. Nikolaus; Scot T. Smith; Kyle R. Webb

We report the performance of a very high repetition rate ArF laser optimized for next generation, high NA, high throughput scanner. The lasers repetition rate exceeds 4kHz, at 5mJ, and at bandwidths of less than 1.2 pm. We discuss the complexity of high power operation, and make some estimates about the robustness of this technology. In particular, we discuss the risks of scaling to this high repetition rate, and prospects of exceeding 4kHz to near 6kHz with 95 percent bandwidths of less than 1pm.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Laser spectrum line shape metrology at 193 nm

Alexander I. Ershov; Gunasiri G. Padmabandu; Jeremy D. Tyler; Palash P. Das

The spectral shape requirements for an ArF laser for 193 nm microlithography are expected to be about 2X tighter than at 248nm. This is in part due to the dispersion of fused silica and CaD2 at 193nm and in part due to the push by the lens designers towards higher NA lenses. However, unlike 248nm, it is likely that the process engineer may not be satisfied with simple spectral bandwidth measurements of Full-Width-At-Half-Maximum. Instead, the knowledge of the compete spectral shape may be required, since it is the total shape that has an impact on the lens performance. This requirement may have significant impact on corresponding metrology tools. These tools should be either portable or built into the laser. They should be able to provide continuous feedback to the process engineer as far as the lens performance is considered. Present paper discuses recent developments in 193nm metrology which can be implemented as a part of laser on-board diagnostics or as a field service tool, and is capable of accurately measuring the laser spectrum shape. This information, together with propriety lens parameters, will allow process engineer to accurately evaluate the aberrations due to the laser line shape.

Researchain Logo
Decentralizing Knowledge